<< مقالات لاتين فني مهندسي >>
<< بر اساس عنوان >>
1
Multiple Slope Switching Waveform Approximation to Improve Conducted EMI Spectral Analysis of Power Converters
2
Multiple slot allocation for voice/data transmission over PRMA++ applied to FRAMES multiple access mode 1
3
Multiple slot array with near zero refractive index substrate
4
Multiple slot waveguides for enhanced biochemical sensing
5
Multiple slots technique for bandwidth enhancement of microstrip rectangular patch antenna
6
Multiple Small-Scale Floods in Unstructured P2P Systems
7
Multiple snapshot Beamspace Matrix Pencil method for direction of arrival estimation
8
Multiple snapshot compressive beamforming
9
Multiple snapshot matching pursuit for direction of arrival (DOA) estimation
10
Multiple snapshot spatial smoothing with improved effective array aperture for high-resolution direction-of-arrival estimation
11
Multiple SNPs genotyping by ligation of universal probes on 3D DNA microarray
12
Multiple soccer players tracking
13
Multiple Social Networks Analysis of FLOSS Projects using Sargas
14
Multiple soft fault diagnosis of analogue electronic circuits
15
Multiple soft-switching operating points-based power flow control of contactless power transfer systems
16
Multiple SOI layers by multiple Smart-Cut(R) transfers
17
Multiple solar panels maximum power point tracking using the output current
18
Multiple solid burst-error-correcting binary codes (Corresp.)
19
Multiple Soliton Control in Fiber Lasers by Active Intensity Modulation
20
Multiple solution search based on hybridization of real-coded evolutionary algorithm and quasi-newton method
21
Multiple Solutions and Plateau Phenomenon in Measurement-Based Load Model Development: Issues and Suggestions
22
Multiple solutions approach to tackle circular flows in real power tracing
23
Multiple Solutions for a Second-Order Differential Equation Model with Two Parameters via a Variational Method
24
Multiple Solutions for Continuous Nonlinear Systems (A Catastrophe)
25
Multiple Solutions for Plant Design Analyses through a Genetic Algorithm with Tabu Lists
26
Multiple solutions in the theory of near-cathode layers and self-organization on DC glow cathodes
27
Multiple solutions of nonlinear equations: Roots of polynomials
28
Multiple solutions of optimal PMU placement using exponential binary PSO algorithm
29
Multiple solutions of piecewise- linear resistive networks
30
Multiple solutions of PMSG with different orientations and reference powers
31
Multiple solutions of the micropolar fluid equation in a porous channel
32
Multiple solutions of the steady state semiconductor device equations
33
Multiple solutions to the l1-optimal control problem and its dual linear programming problem
34
Multiple solutions to the TPBVP arising in optimal scheduling of cancer chemotherapy
35
Multiple Sound Channel Tradeoffs for Satellite TV Broadcast Systems
36
Multiple sound channels in satellite broadcasting
37
Multiple sound source location estimation and counting in a wireless acoustic sensor network
38
Multiple Sound Source Mapping for a Mobile Robot by Self-motion Triangulation
39
Multiple sound source tracking and identification via degenerate unmixing estimation technique and cardinality balanced multi-target multi-bernoulli filter (DUET-CBMeMBer) with track management
40
Multiple sound source tracking by two microphones using PSO
41
Multiple sound sources localization using the spatially mapped GCC functions
42
Multiple sound sources localization with perception sensor network
43
Multiple sound sources tracking method based on Subspace Tracking
44
Multiple source 2.45 to 28 GHz electron cyclotron heating on the levitated dipole experiment
45
Multiple source clustering: a probabilistic reasoning approach
46
Multiple source Cooperation diversity
47
Multiple source cooperative coding using turbo product codes with a noisy relay
48
Multiple source data fusion via sparse representation for robust visual tracking
49
Multiple Source Detection and Localization in Advection-Diffusion Processes Using Wireless Sensor Networks
50
Multiple source DF signal processing: An experimental system
51
Multiple source direction finding with an array of M sensors using two receivers
52
Multiple source domain adaptation: A bound using p-norm covering numbers
53
Multiple source domain adaptation: A sharper bound using weighted Rademacher complexity
54
Multiple source intersystem interference between land mobile systems
55
Multiple source localisation in the spherical harmonic domain
56
Multiple source localization based on biased bearings using the intensity filter - approach and experimental results
57
Multiple source localization for partial discharge monitoring in electrical substation
58
Multiple Source Localization In Near Field Using Music And MLE
59
Multiple source localization in shallow ocean using a uniform linear horizontal array of acoustic vector sensors
60
Multiple Source Localization in Wireless Sensor Networks Based on Time of Arrival Measurement
61
Multiple source localization using independent component analysis
62
Multiple source localization using partitioning: algorithms
63
Multiple source localization using randomly distributed wireless sensor nodes
64
Multiple source localization with moving co-prime arrays
65
Multiple source location: The signal subspace approach
66
Multiple Source Location-A Digital Approach
67
Multiple source location--A matrix decomposition approach
68
Multiple source location-recursive approach for coherent sources
69
Multiple source location-the signal subspace approach
70
Multiple source MOS evaluation of a flexible low-rate vocoder
71
Multiple source multiple destination topology inference using network coding
72
Multiple source navigation signal receiver
73
Multiple source network tomography: a hypothesis-testing approach
74
Multiple source resolution for true time delay beam-space processing
75
Multiple source tracking by sequential posterior kernel density estimation through GSCT
76
Multiple source tracking using a high resolution method
77
Multiple source, multiple destination network tomography
78
Multiple Sources Classification of Gene Position on Chromosomes Using Statistical Significance of Individual Classification Results
79
Multiple sources discrimination by array processing
80
Multiple sources DOA and polarization estimations using vector circular array
81
Multiple sources neural network direction finding with arbitrary separations
82
Multiple sources of the impedance cardiogram based on 3-D finite difference human thorax models
83
Multiple sources used to improve the field uniformity in reverberation chamber
84
Multiple sources´ direction finding by using reliable component on phase difference manifold and kernel density estimator
85
Multiple space mapping for RF T-switch design
86
Multiple space vector control for self-commutated power converters
87
Multiple spacecraft formation control with O-D Method
88
Multiple span dispersion compensation using all-fiber higher-order-mode dispersion compensators
89
Multiple spanning tree construction for deadlock-free adaptive routing in irregular networks
90
Multiple sparse component analysis based on subspace selective search algorithm
91
Multiple Sparse Tables based on pivot table for Multi-tenant Data Storage in SaaS
92
Multiple spatio-temporal scales neural network for contextual visual recognition of human actions
93
Multiple Speaker Localization in a Smart Room
94
Multiple speaker tracking using a microphone array by combining auditory processing and a gaussian mixture cardinalized probability hypothesis density filter
95
Multiple speaker tracking with the Factorial von Mises-Fisher Filter
96
Multiple speaker/microphone platform as an educational tool for wireless communications
97
Multiple species implants with pulsed and DC plasma immersion ion implantation
98
Multiple specification design in flight control system
99
Multiple specification regulation control in interpreted Petri nets
100
Multiple specifications radio-frequency integrated circuit design with automatic template-driven layout retargeting
101
Multiple spectral image decomposition for stain analysis in multiply labeled slides
102
Multiple Spectral Peak Tracking for Heart Rate Monitoring from Photoplethysmography Signal During Intensive Physical Exercise
103
Multiple Spectral–Spatial Classification Approach for Hyperspectral Data
104
Multiple spectrum filtering and thermal fixing for volume holographic correlator
105
Multiple speech source localization using ambiguity suppression
106
Multiple Speed Clutch Applied to Automatic Washers
107
Multiple spindle CNC machines
108
Multiple split-ring resonators for tri-band filter with asymmetric response
109
Multiple spreading codes based MAC protocol for wireless networks
110
Multiple sprites and frame skipping techniques for sprite generation with high subjective quality and fast speed
111
Multiple stable state merging a practical approach to the design of asynchronous sequence detectors and similar circuits
112
Multiple stack anodically bonded 4 mm thick Rb vapor cell
113
Multiple stacks of InAs/InGaAs quantum dots for GaAs-based 1.3 μm vertical cavity surface emitting lasers
114
Multiple stage ant colony optimization algorithm for near-OPTD large-MIMO detection
115
Multiple stage anti-windup augmentation synthesis for open-loop stable plants
116
Multiple stage capacitor multiplier using dual-output differential amplifiers
117
Multiple stage dispersion compensation in long haul optical fibre systems using chirped fibre Bragg gratings
118
Multiple stage pulsed induction acceleration
119
Multiple stage transform and its supporting tools in H.264/AVC
120
Multiple stage uncompensated Marchand balun with 7:1 bandwidth ratio on double side PCB
121
Multiple stage vector quantization for speech coding
122
Multiple stage vector quantization using competitive learning
123
Multiple Standards Compatible Learning Resource Management
124
Multiple STATCOM Allocation and Sizing Using Particle Swarm Optimization
125
Multiple State Electrostatically Formed Nanowire Transistors
126
Multiple state estimation reinforcement learning for driving model: driver model of automobile
127
Multiple states and variable intensity in the plasma display panel
128
Multiple states and variable intensity in the plasma display panel
129
Multiple Station Aggregation Procedure for Radio-On-Demand WLANs
130
Multiple stationary solutions to the extremum seeking control problem
131
Multiple statistical validations for sensor networks optimization
132
Multiple Steady States in Homogeneous Azeotropic Distillation
133
Multiple step social structure analysis with Cytoscape
134
Multiple Stepwise Regression Analysis on Knowledge Evaluation
135
Multiple stereo vision for 3D object reconstruction
136
Multiple stereoscopic pairs recording system based on volume holographic memory
137
Multiple stochastic learning automata for vehicle path control in an automated highway system
138
Multiple Stokes and anti-Stokes generation in triclinic /spl gamma/-KIO/sub 3/ and hexagonal /spl alpha/-LiIO/sub 3/ crystals
139
Multiple stopbands ultra wide band antenna
140
Multiple stopping criteria and high-precision EMD architecture implementation for Hilbert-Huang transform
141
Multiple storage adaptive multi-trees
142
Multiple storage of thick phase holograms in LiNbO3
143
Multiple Storage Quad Trees: A Simpler Faster Alternative to Bisector List Quad Trees
144
Multiple straight line detection based on labeling of pixels by Genetic Algorithm
145
Multiple Streaming at the Network Edge
146
Multiple streams controller for layered multicast
147
Multiple stress aging of high voltage polymeric insulation
148
Multiple stress aging of HV polymeric insulation
149
Multiple stress aging of magnet wire by high frequency voltage pulses and high temperatures
150
Multiple stress aging of solid-dielectric extruded dry-cured insulation systems for power transmission cables
151
Multiple Stress Effect Analysis on Pneumatic Cylinders Accelerated Life Testing
152
Multiple Stress Memorization In Advanced SOI CMOS Technologies
153
Multiple strings planing problem in maritime service network: Hyper-heuristic approach
154
Multiple strip photo mixing demodulator for 3D imaging implemented on high resistivity silicon
155
Multiple structure adaptive target tracking
156
Multiple Structure Analysis To Support Multi-Level User Feedback
157
Multiple Structured-Instance Learning for Semantic Segmentation with Uncertain Training Data
158
Multiple stuck positions actuator faults: A model predictive based reconfigurable control scheme
159
Multiple stuck-at fault diagnosis in combinational circuits based on restricted single sensitized paths
160
Multiple stuck-at fault test generation techniques for combinational circuits based on network decomposition
161
Multiple stuck-at fault testability of a combinational circuit derived by covering ROBDD nodes by Invert-And-Or sub-circuits
162
Multiple stuck-at fault testability of self-testing checkers
163
Multiple stuck-at-fault detection theorem
164
Multiple Stuck-Fault Detection and Location in Multivalued Linear Circuits
165
Multiple stuck-open fault detection in CMOS logic circuits
166
Multiple subcarrier modulation for infrared wireless systems using punctured convolutional codes and variable amplitude block codes
167
Multiple sub-carrier optical wireless systems
168
Multiple Subcategories Parts-Based Representation for One Sample Face Identification
169
Multiple subclass pattern recognition: A maximin correlation approach
170
Multiple sub-filter using variable step size and partial update for acoustic echo cancellation
171
Multiple sub-filters approach to acoustic echo cancellation
172
Multiple Subgroup Data Compression Technique Based on Huffman Coding
173
Multiple subharmonic generation in YIG
174
Multiple subject analysis of functional brain network communities through co-regularized spectral clustering
175
Multiple subject learning for inter-subject prediction
176
Multiple submission: professionalism, ethical issues, and copyright legalities
177
Multiple Submissions and Prior Publication
178
Multiple sub-pixel interpolation filters with adaptive symmetry for high-resolution video coding
179
Multiple Subscriber-Identity-Module Detection Using Social Network Analysis Techniques
180
Multiple subsequence combination in human action recognition
181
Multiple Sub-Spaces particle filtering for multi-target tracking
182
Multiple superstrates technique for a broadband cavity resonance antenna (CRA)
183
Multiple supply (class-G) linear modulator and PA for non-CE modulation
184
Multiple Support Vector Machines and MFCCs application on voice based biometric authentication systems
185
Multiple Support Vector Regression for Antenna Array Characterization and Synthesis
186
Multiple suppression in GPR image for testing back-filled grouting within shield tunnel
187
Multiple surface lattice resonances in second-harmonic generation from metasurfaces
188
Multiple surface plasmon excitations and a light emitting device of organic dye LB film
189
Multiple surface plasmon polariton waves
190
Multiple surface range Estimation in 3D flash imaging ladar via expectation maximization
191
Multiple Surface-Based Biosensors for Enhanced Molecular Detection in Fluid Flow Systems
192
Multiple SVM classification syatem based on Choquet integral with respect to composed measure of L-measure and Delta-measure
193
Multiple SVM-RFE for gene selection in cancer classification with expression data
194
Multiple SVM-RFE for multi-class gene selection on DNA Microarray data
195
Multiple SVM-RFE Using Boosting for Mammogram Classification
196
Multiple swarms immune clonal quantum-behaved particle swarm optimization algorithm and the wavelet in the application of forecasting foundation settlement
197
Multiple sweep method of moments (MSMM) analysis of electromagnetic scattering from targets on ocean-like rough surfaces
198
Multiple switching model predictive control of variable-speed horizontal wind turbine
199
Multiple switching tables direct power control of active front-end rectifiers
200
Multiple Symbol Detection for Differential Unitary Space-Time Modulation Using a M-BID Algorithm
201
Multiple symbol detection for differential unitary space-time modulation using a stack algorithm
202
Multiple Symbol Differential Approximate Maximum-Likelihood Detection for Unitary Space-Time Modulation in Continuous Fading
203
Multiple Symbol Differential Detection and Optimization of Differentially Modulated (N,M)APSK
204
Multiple symbol differential detection for differential cyclic delay diversity system in slow frequency selective fading channel
205
Multiple symbol differential detection for trellis-coded MPSK over Rayleigh fading channels
206
Multiple symbol differential detection for UWB communications
207
Multiple symbol differential detection in jammed FH systems
208
Multiple symbol differential detection of MDPSK in narrowband interference and AWGN
209
Multiple symbol differential detection of MPSK in the presence of frequency offset
210
Multiple symbol differential detection of MPSK with cooperative diversity reception
211
Multiple symbol differential detection with diversity reception
212
Multiple symbol differential detection with diversity reception
213
Multiple Symbol Differential Stack Algorithm for Unitary Space-Frequency Modulation
214
Multiple symbol differentially detected multilevel codes for the Rayleigh-fading channel
215
Multiple symbol double differential space-time coded OFDM
216
Multiple symbol noncoherent detection of GMSK
217
Multiple symbol partially coherent detection of MPSK
218
Multiple symbol trellis coding of CPFSK
219
Multiple symbols soft-decision metrics for coded frequency-shift keying signals in high mobility wireless communication
220
Multiple synchronous detection method for measuring non-linear and static resistance
221
Multiple system configuration for next generation optical access networks with real-time Nyquist UDWDM-PON
222
Multiple system of cooling large turbo generators and an experimental study of ventilation of turbo-alternators
223
Multiple systems of distribution for street lighting
224
Multiple Systems of Distribution for Street Lighting
225
Multiple Systems Sensing for Cognitive Radio Networks over Rayleigh Fading Channel
226
Multiple T slot compact & ultra wide band Microstrip patch antenna for Wimax Applications
227
Multiple tags identification for short id rfid networks
228
Multiple target ambiguity resolution
229
Multiple target angle tracking algorithm using angular innovations extracted from signal subspace
230
Multiple target angle tracking algorithm using predicted angles
231
Multiple target angle tracking using sensor array outputs
232
Multiple target angle tracking using sensor array outputs
233
Multiple target angle-tracking algorithm with efficient equation for angular innovation
234
Multiple target associated coverage algorithm in wireless sensor networks
235
Multiple target clock distribution with arbitrary delay interconnects
236
Multiple target detection - optimum resolution
237
Multiple target detection and estimation by exploiting the amplitude modulation induced by antenna scanning. I. Parameter estimation
238
Multiple target detection and estimation by exploiting the amplitude modulation induced by antenna scanning. Part II: detection
239
Multiple target detection and localization in UWB multistatic radars
240
Multiple target detection and track identification using modified high order correlations
241
Multiple target detection and tracking by interacting joint probabilistic data association filter and bayesian networks: Application to real data
242
Multiple target detection and tracking with a sensor network
243
Multiple target detection and tracking with guaranteed framerates on mobile phones
244
Multiple target detection for an antenna array using outlier rejection methods
245
Multiple target detection through DFT processing in a sequential mode operation of real or synthetic arrays
246
Multiple target detection through DFT processing in a sequential mode operation of real two-dimensional arrays
247
Multiple target detection using Bayesian learning
248
Multiple target detection using modified high order correlations
249
Multiple Target Detection Using Optical Flow
250
Multiple target detection using split spectrum processing and group delay moving entropy
251
Multiple target detection--Optimum resolution
252
Multiple target direction finding and intercept using small aperture antenna arrays
253
Multiple target direction of arrival tracking
254
Multiple target discrimination using E-pulse techniques
255
Multiple target DOA estimation by exploiting knowledge of the antenna main beam pattern
256
Multiple target Doppler estimation under possibly moving radar clutter
257
Multiple Target Effects on Monopulse Signal Processing
258
Multiple target FMCW ranging by evaluation of the impulse response phase
259
Multiple target localization and power estimation in wireless sensor networks using compressive sensing
260
Multiple target localization based on probability fusion by spatial association
261
Multiple Target Localization Using Compressive Sensing
262
Multiple Target Localization Using Wideband Echo Chirp Signals
263
Multiple target marker tracking for real-time, accurate, and robust rigid body motion tracking of the head for brain PET
264
Multiple target performance evaluation model for HD video encoder VLSI architecture design
265
Multiple target recognition based on blind source separation and missing feature theory
266
Multiple target recognition by eigenvalue estimation
267
Multiple target response of data-processing antennas
268
Multiple target track-before-detect in compound Gaussian clutter
269
Multiple target tracking and classification using the unscented probability hypothesis density filter
270
Multiple Target Tracking and Data Association in Sonar Images
271
Multiple Target Tracking and Data Association in Wireless Sensor Network
272
Multiple target tracking and multiple frequency line tracking using hidden Markov models
273
Multiple target tracking and stationary object detection in video with Recursive-RANSAC and tracker-sensor feedback
274
Multiple target tracking based on symmetric measurement equations
275
Multiple Target Tracking based on Symmetric Measurement Equations
276
Multiple Target Tracking Based on Undirected Hierarchical Relation Hypergraph
277
Multiple target tracking based separation of Micro-Doppler signals from coning target
278
Multiple target tracking by a distributed UWB sensor network based on the PHD filter
279
Multiple target tracking by appearance-based condensation tracker using structure information
280
Multiple target tracking by integrating track refinement and data association
281
Multiple Target Tracking by Learning-Based Hierarchical Association of Detection Responses
282
Multiple target tracking for intelligent headlights control
283
Multiple Target Tracking for Mobile Robots Using the JPDAF Algorithm
284
Multiple Target Tracking for Surveillance: A Particle Filter Approach
285
Multiple target tracking in clutter backgrounds using self-organizing feature map
286
Multiple target tracking in seabed surveys using the GM-PHD filter
287
Multiple target tracking in Underwater Sonar Images using Particle-PHD filter
288
Multiple target tracking in video data using labeled random finite set
289
Multiple target tracking under occlusions using modified Joint Probabilistic Data Association
290
Multiple target tracking using a multirate IMMJPDA algorithm
291
Multiple target tracking using a narrowband RF sensor array
292
Multiple target tracking using constrained MAP data association
293
Multiple target tracking using hidden Markov models
294
Multiple target tracking using homogeneous symmetric measurement
295
Multiple target tracking using Hough transform PMHT algorithm
296
Multiple target tracking using maximum likelihood principle
297
Multiple Target Tracking Using Maximum Likelihood Probabilistic Data Association
298
Multiple target tracking using parallel processing
299
Multiple target tracking using particle filter based multi-scan joint probabilistic data association filter
300
Multiple target tracking using particle filtering and adaptive waveform design
301
Multiple target tracking using products of position measurements
302
Multiple target tracking using Random Sets
303
Multiple target tracking using recurrent neural networks
304
Multiple target tracking using recursive RANSAC
305
Multiple target tracking using retrodirective antenna arrays
306
Multiple target tracking using sensor arrays
307
Multiple target tracking using Sequential Monte Carlo Methods and statistical data association
308
Multiple Target Tracking Using Spatio-Temporal Markov Chain Monte Carlo Data Association
309
Multiple target tracking using Support Vector Machine and data fusion
310
Multiple target tracking using the extended Kalman particle probability hypothesis density filter
311
Multiple target tracking with a pixelized sensor
312
Multiple target tracking with an efficient compact colour correlogram
313
Multiple target tracking with asynchronous bearings-only-measurements
314
Multiple target tracking with constrained motion using particle filtering methods
315
Multiple target tracking with Gaussian mixture PHD filter using passive acoustic Doppler-only measurements
316
Multiple target tracking with navigation uncertainty
317
Multiple target tracking with particle filtering in UWB radar sensor networks
318
Multiple target tracking with possibly merged measurements modeled by point processes
319
Multiple target tracking with quantized measurements: A standard Bayesian approach
320
Multiple Target Tracking with Radar Applications
321
Multiple Target Tracking with RF Sensor Networks
322
Multiple target tracking with structural inference
323
Multiple target tracking with symmetric measurement equations using unscented Kalman and particle filters
324
Multiple target tracking with the use of adaptive neuro fuzzy inference system aided simulated annealing tracker
325
Multiple target tracking with wireless sensor network for ground battlefield surveillance
326
Multiple Target Vehicles Detection and Classification with Low-Rank Matrix Decomposition
327
Multiple Targets Deception Jamming Against ISAR Using Electromagnetic Properties
328
Multiple targets detection and localization based on blind estimation in wireless sensor network
329
Multiple targets estimation and tracking for ADS-B radar system
330
Multiple targets geolocation using SIFT and stereo vision on airborne video sequences
331
Multiple targets localization using frequency domain data
332
Multiple targets parameters estimation for bistatic MIMO radar system
333
Multiple targets resolving using time range Doppler image
334
Multiple targets three-dimensional localization for bistatic MIMO radar using transmit circular array
335
Multiple targets tracking by optimized particle filter based on multi-scan JPDA
336
Multiple targets tracking in traffic scene
337
Multiple targets tracking in visual sensor networks based on asymmetrie Voronoi diagram
338
Multiple Targets Tracking Using Attention GA
339
Multiple targets tracking with Robust PCA-based background subtraction and Mean-shift driven particle filter
340
Multiple targets’ detection in terms of Keystone transform at the low SNR level
341
Multiple task migration in mesh network on chips over virtual point-to-point connections
342
Multiple task optimization using dynamical movement primitives for whole-body reactive control
343
Multiple task optimization with a mixture of controllers for motion generation
344
Multiple task planning based on TS algorithm for multiple heterogeneous unmanned aerial vehicles
345
Multiple task point control of a redundant manipulator
346
Multiple task scheduling for low-duty-cycled wireless sensor networks
347
Multiple task-domain acoustic models
348
Multiple tasks kinematics using weighted pseudo-inverse for kinematically redundant manipulators
349
Multiple tasks migration in cooperative wireless network for critical services
350
Multiple tasks scheduling algorithm for UAV attacking in uncertain environment
351
Multiple task-space robot control: Sense locally, act globally
352
Multiple TDOA estimation by using a state coherence transform for solving the permutation problem in frequency-domain BSS
353
Multiple teams for mobile robot formation control
354
Multiple techniques approach failure analysis for a blocked p+ implant induced leakage in an ESD protection diode
355
Multiple techniques for lunar surface minerals mapping using simulated data
356
Multiple technologies in a single access platform
357
Multiple Television and Frequency-Modulation Transmitting Antenna Installation on the Empire State Building
358
Multiple temperature control with detection of contacting points for rendering thermal sensation
359
Multiple template deformation application to abdominal organ segmentation
360
Multiple template detection using impulse restoration and discriminative filters
361
Multiple template matching using the expansion filter
362
Multiple templates access of trees in parallel memory systems
363
Multiple templates auto exposure control based on luminance histogram for onboard camera
364
Multiple Temporal Axes for Visualising the Behaviour of Elders Living Alone
365
Multiple temporal consistency states for dynamical verification of upper bound constraints in grid workflow systems
366
Multiple temporal pattern detection and predictability analysis of complex time-evolving systems
367
Multiple terminal acoustic communications system design
368
Multiple terminal reduction method
369
Multiple Test Executives in ATE
370
Multiple test procedures for radar-based detection of buried landmines
371
Multiple test set generation method for LFSR-based BIST
372
Multiple testing for seismic data using bootstrap
373
Multiple testing for sequential probability ratio tests with application to multiband spectrum sensing
374
Multiple testing procedure based on energy detector for multiband spectrum sensing in cognitive radio
375
Multiple tests for each gate delay fault: higher coverage and lower test application cost
376
Multiple Text Document Summarization System using hybrid Summarization technique
377
Multiple text summarization using fixed expressions in news articles
378
Multiple Textured Objects Segmentation Using DWT Based Texture Features in Geodesic Active Contour
379
Multiple TFRC Connections Based Rate Control for Wireless Networks
380
Multiple TFRC Streaming in a WiMAX Environment
381
Multiple theoretical perspectives for studying the assimilation of emerging information technologies
382
Multiple third order cyclic frequencies based spectrum sensing scheme for CR networks
383
Multiple Threads In Cyclic Register Windows
384
Multiple Threshold Voltage Design Scheme for CMOS Tapered Buffers
385
Multiple threshold voltage for glitch power reduction
386
Multiple thresholds and many-atom dynamics in the cavity QED microlaser
387
Multiple THz surface plasmon resonances of periodic split ring arrays in silicon
388
Multiple Ti/Al stacks induced thermal stability enhancement in Ti/Al/Ni/Au Ohmic contact on AlGaN/GaN heterostructure
389
Multiple time constant modeling of dispersion dynamics in hetero field-effect transistors
390
Multiple time constants measurement: an accuracy-based approach
391
Multiple time resolution analysis of speech signal using MCE training with application to speech recognition
392
Multiple Time Resolution Unit Commitment for Short-Term Operations Scheduling Under High Renewable Penetration
393
Multiple time resolutions for derivatives of Mel-frequency cepstral coefficients
394
Multiple time scale decomposition and state space aggregation of controlled Markov processes
395
Multiple time scale flight control using re-configurable sliding modes
396
Multiple time scale optimal operation of MMC battery energy storage system
397
Multiple time scale redundancy control for QoS-sensitive transport of real-time traffic
398
Multiple Time Scale Regulation and Worst Case Processes for ATM Network Control
399
Multiple time scale sliding mode control of reusable launch vehicles in ascent and descent modes
400
Multiple time scales and subexponentiality in MPEG video streams
401
Multiple time scaling qualitative simulation using typical patterns
402
Multiple Time Scalings of a Multi-Output Observer Form
403
Multiple Time-delay Smart Deception Jamming to Pseudo-random Code Phase Modulation Fuze
404
Multiple time-delays system modeling and control for router management
405
Multiple Time-Domain Diffraction for UWB Signals
406
Multiple time-domain diffraction of plane waves by an array of perfectly conducting wedges for UWB signals
407
Multiple time-lag canonical correlation analysis for removing muscular artifacts in EEG
408
Multiple times in systems: a perspective
409
Multiple time-scale coordinated power control system to accommodate significant wind power penetration and its real application
410
Multiple time-scale decomposition in cheap control problems--Singular control
411
Multiple timescale dispatch and scheduling for stochastic reliability in smart grids with wind generation integration
412
Multiple time-scale power system dynamic simulation
413
Multiple timescale statistical filter for corrupt RR-series
414
Multiple Timescales and Modeling of Dynamic Bounce Phenomena in RF MEMS Switches
415
Multiple timescales PIA for cooperative reinforcement learning based on MDP model
416
Multiple time-span feature fusion for deep neural network modeling
417
Multiple time-varying dynamic analysis using multiple sets of basis functions
418
Multiple time-varying dynamic analysis using multiple sets of basis functions
419
Multiple timing offsets compensation in cooperative communication systems
420
Multiple tip nano probe actuators with integrated JFETs
421
Multiple token distributed loop local area networks: analysis
422
Multiple tone interference of frequency-hopped noncoherent MFSK signals transmitted over Ricean fading channels
423
Multiple tone interference of frequency-hopped noncoherent MFSK signals transmitted over Ricean fading channels
424
Multiple tone interferers in an FH-MFSK spread spectrum communication system
425
Multiple tone interferers in an FH-MFSK spread spectrum communication system
426
Multiple Tone Medium Access Control for Wireless Networks with Multiple Packet Reception and Central Control
427
Multiple tone removal from short signal records using singular value decomposition
428
Multiple topologies routing for improving service management in OSPF networks
429
Multiple track 4D-road representation
430
Multiple tracking based anomaly detection of mobile nodes
431
Multiple traffic scheduling for enhanced General Packet Radio Service
432
Multiple traffic type CDMA systems using an improved adaptive LMMSE receiver
433
Multiple Training - One Test Methodology for Handwritten Word-Script Identification
434
Multiple training concept for back-propagation networks
435
Multiple trajectory search for Large Scale Global Optimization
436
Multiple trajectory search for multiobjective optimization
437
Multiple Trajectory Search for single objective constrained real-parameter optimization problems
438
Multiple Trajectory Search for Uncapacitated Facility Location Problems
439
Multiple trajectory search for unconstrained/constrained multi-objective optimization
440
Multiple transform algorithms for time-varying signal representation
441
Multiple transform domain split vector quantisation
442
Multiple transient effects in SOI transistors: Systematic measurements and simulation
443
Multiple transient estimation using bootstrap and subspace methods
444
Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach
445
Multiple transient faults in logic: an issue for next generation ICs?
446
Multiple transistor open-circuit faults diagnosis in a vector-controlled induction motor drive
447
Multiple transit regions Gunn diodes
448
Multiple transition mode multiple target track-before-detect with partitioned sampling
449
Multiple transition model and enhanced boundary scan architecture to test interconnects for signal integrity
450
Multiple transmit and receive antenna diversity techniques for wireless communications
451
Multiple transmit antenna differential detection from generalized orthogonal designs
452
Multiple transmit focusing using modified orthogonal Golay codes for small scale systems
453
Multiple transmit multiple receive (MTMR) capacity survey in Manhattan
454
Multiple Transmitter Localization and Communication Footprint Identification Using Sparse Reconstruction Techniques
455
Multiple transmitter localization via interference subtraction
456
Multiple transverse mode VCSEL´s for high speed data communications
457
Multiple trapping in a patterned plasmonic landscape
458
Multiple Traveling Robot Problem: A Solution Based on Dynamic Task Selection and Robust Execution
459
Multiple traveling wave electromagnetic rotating power supplies: FEM field modeling
460
Multiple tree quorum algorithm for replica control in distributed database systems
461
Multiple tree video multicast over mobile ad hoc networks
462
Multiple Tree Video Multicast Over Wireless Ad Hoc Networks
463
Multiple Tree Video Multicast Over Wireless Ad Hoc Networks
464
Multiple Trees with Network Coding for Efficient and Reliable Multicast in MANETs
465
Multiple trellis coded differential unitary space-time modulation
466
Multiple trellis coded differential unitary space-time modulation
467
Multiple trellis coded differential unitary space-time modulation in Rayleigh flat fading
468
Multiple trellis coded frequency and phase modulation
469
Multiple trellis coded modulation (MTCM)
470
Multiple trellis coded modulation for the mobile radio channel
471
Multiple trellis coded modulation using BFSK/MPSK modulation
472
Multiple trellis coded modulation using CPFSK signals
473
Multiple trellis coded unitary space-time modulation
474
Multiple trial processing of multivariate phase synchronization in brain signals
475
Multiple trigger initiated handover execution in WiMAX networks
476
Multiple trigonometric approximation of sine-amplitude with small ROM size for direct digital frequency synthesizers
477
Multiple triple-quantum-well active region for above-GaAs-bandgap reflection modulator
478
Multiple Trojan horse systems and covert channel analysis
479
Multiple trusted devices authentication protocol for ubiquitous computing applications
480
Multiple tunable constant multiplications: Algorithms and applications
481
Multiple tuned model approach for the analysis of nonlinear dynamics of the long term blood pressure regulation
482
Multiple turbo codes
483
Multiple turn on of IGBTs in Large Inverters
484
Multiple Turn Ratios of On-Chip Transformer With Four Intertwining Coils
485
Multiple twisted data line technique for scaled DRAMs
486
Multiple twisted data line techniques for coupling noise reduction in embedded DRAMs
487
Multiple twisted dataline techniques for multigigabit DRAMs
488
Multiple Two-Phase Data Processing with MapReduce
489
Multiple two-way relaying channels: Precoding design and outage performance analysis
490
Multiple Type Grid Approach for 3D Process Simulation
491
Multiple type workflow model for enterprise application integration
492
Multiple Types of Movement-Related Information Encoded in Hindlimb/Trunk Cortex in Rats and Potentially Available for Brain–Machine Interface Controls
493
Multiple UAV area decomposition and coverage
494
Multiple UAV coalition formation
495
Multiple UAV cooperative path planning via neuro-dynamic programming
496
Multiple UAV cooperative search under collision avoidance and limited range communication constraints
497
Multiple UAV Guidance Using the Multi-layer Control Scheme and Delaunay Triangulation
498
Multiple UAV navigation with finite sensing zone
499
Multiple UAV path planning using anytime algorithms
500
Multiple UAV search using agent based negotiation scheme
501
Multiple UAV teams for multiple tasks
502
Multiple UAVs collision avoidance trajectory coordination using distributed receding horizon optimization
503
Multiple UAVs configuration formation control via the dual quaternion method
504
Multiple UAVs cooperative path planning based on Dynamic Bayesian network
505
Multiple UAVs hierarchical dynamic task allocation based on PSO-FSA and decentralized auction
506
Multiple UAVs mission assignment based on modified Pigeon-inspired optimization algorithm
507
Multiple UAVs Routes Planning Based on Particle Swarm Optimization Algorithm
508
Multiple UAVs tracking algorithm with a multi-camera system
509
Multiple UCAVs cooperative air combat simulation platform based on PSO, ACO, and game theory
510
Multiple UCAVs cooperative path planning in dynamic environments
511
Multiple UCAVs mission assignment based on modified Gravitational Search
512
Multiple UCAVs target assignment via Bloch Quantum-Behaved Pigeon-Inspired Optimization
513
Multiple UHF frequency generation using acoustic surface-wave filters
514
Multiple Uncertainties in Time-Variant Cosmological Particle Data
515
Multiple undirectional byte error-correcting codes
516
Multiple Unicast Capacity of 2-Source 2-Sink Networks
517
Multiple unicasts, graph guessing games, and non-Shannon inequalities
518
Multiple uniform layer approximation in analysis of negative resistance in p-n junction in breakdown
519
Multiple unit action potential sorting: investigation of features, algorithms, and parameters for a fully automated system
520
Multiple unit antennas, with skew
521
Multiple Unit Correlation Analysis of Cutaneous Receptors
522
Multiple Unit, Voltage Speed Control for Trunk Line Service
523
Multiple Unmanned Vehicle Operations in Confined Areas
524
Multiple unordered wide-baseline image matching and grouping
525
Multiple Unresolved Target Localization and Tracking using Colocated MIMO Radars
526
Multiple upset in memories & their impact on error predictions and subsystem designs
527
Multiple Upsets Tolerance in SRAM Memory
528
Multiple Usability Evaluations of a Program Animation Tool
529
Multiple use and other benefits of computerized publishing
530
Multiple Use Conflicts in Narragansett Bay: Commercial Fishing and Aquaculture
531
Multiple use of content in a Web-based language learning system
532
Multiple use of machine readable data in publishing
533
Multiple use of the fractional-order differential calculus in the model predictive control
534
Multiple use of the information captured by cost-effective sensor networks in university libraries
535
Multiple user channel capacity analysis of code-division multiple access system based on space-time block coding
536
Multiple User Characteristic Models for Online Survey Based on FP-Tree Algorithm
537
Multiple user information theory
538
Multiple user maximum likelihood based sequence estimation for unknown, frequency selective, fast time varying channels
539
Multiple user maximum likelihood code-timing acquisition for uplink DS-CDMA systems
540
Multiple user motion capture and systems engineering
541
Multiple User Packet Repackaging
542
Multiple user pair scheduling in bi-directional single relay assisted FSO systems
543
Multiple user pair scheduling in TWR-FSO systems in presence of building sway
544
Multiple user perspectives on value of business-to-business websites
545
Multiple user recognition with passive RFID tomography
546
Multiple User Tracing Codes
547
Multiple User Variable Rate Coding for TASI and Packet Transmission Systems
548
Multiple user writing on dirty paper
549
Multiple users adaptive modulation schemes for MC-CDMA
550
Multiple Uses of an Upgraded FMIT Facility
551
Multiple Utility Factors-Based Parallel Packet Scheduling for Broadcast/Unicast in BWM Systems
552
Multiple UWB emitters DoA estimation employing TH-SS
553
Multiple Vdd on 3D NoC architectures
554
Multiple value stream mapping: How to implement work load control in complex systems
555
Multiple valued Clausius-Mossotti factors resulting from nonlinear boundary conditions: an exact solvable model [biological cells application]
556
Multiple Valued Counter
557
Multiple valued input generalised Reed-Muller forms
558
Multiple Valued Logic Algebra for the Synthesis of Digital Circuits
559
Multiple Valued Logic Using 3-State Quantum Dot Gate FETs
560
Multiple valued logic: current-mode CMOS circuits
561
Multiple Variable Sources of Reactive Power on Distribution System Primary Feeders
562
Multiple Variable Sources of Reactive Power on Distribution System Primary Feeders
563
Multiple vector classification for P2P traffic identification
564
Multiple vector solitons in an ytterbium-doped fiber laser based on evanescent field interaction with graphene saturable absorber
565
Multiple vehicle 3D tracking using an unscented Kalman
566
Multiple vehicle Bayesian-based domain search with intermittent information sharing
567
Multiple vehicle cooperative localization under random finite set framework
568
Multiple vehicle detection and tracking in hard real-time
569
Multiple vehicle driving control for traffic flow efficiency
570
Multiple vehicle routing with time windows using genetic algorithms
571
Multiple vehicle systems for sensor network area coverage
572
Multiple vehicle tracking based on regional estimation in nighttime CCD images
573
Multiple Vehicle Visual Tracking from a Moving Vehicle
574
Multiple Vehicles Collaborative Data Download Protocol via Network Coding
575
Multiple Vehicles Detection and Tracking based on Scale-Invariant Feature Transform
576
Multiple Vehicles License Plate Tracking and Recognition via Isotropic Dilation
577
Multiple vehicles mission coordination using Petri nets
578
Multiple velocity estimation in an image neighborhood
579
Multiple versus Independent Operation of Units and Central Stations
580
Multiple vertical mode high power 975 nm diode lasers restricted to single vertical mode operation through use of optical facet coatings
581
Multiple vibration intensities and frequencies for bone mineral density improvement
582
Multiple Video Object Extraction Using Multi-Category ψ-Learning
583
Multiple video object tracking using variational inference
584
Multiple video objects extraction for MPEG-4 application
585
Multiple video trajectories representation using double-layer isometric feature mapping
586
Multiple video watermarking algorithm based on wavelet transform
587
Multiple video watermarks based on 3D-DWT and 3D-DCT robust to geometrical attacks
588
Multiple video Zero-Watermarking based on 3D DFT to resist geometric attacks
589
Multiple Videos Broadcasting Scheme for Near Video-on-Demand Services
590
Multiple view 3D registration: a review and a new technique
591
Multiple view based 3D object classification using ensemble learning of local subspaces
592
Multiple View Based Building Modeling with Multi-box Grammar
593
Multiple View Camera Calibration for Localization
594
Multiple View Clustering Using a Weighted Combination of Exemplar-Based Mixture Models
595
Multiple view consistency for data warehousing
596
Multiple view discriminative appearance modeling with IMCMC for distributed tracking
597
Multiple view dual-energy X-ray imaging
598
Multiple view environment supporting VDM and Ada
599
Multiple View Geometries for Mirrors and Cameras
600
Multiple view geometry and the L-norm
601
Multiple View Geometry for Non-rigid Motions Viewed from Curvilinear Motion Projective Cameras
602
Multiple View Geometry in Dynamic Environment
603
Multiple view geometry of non-planar algebraic curves
604
Multiple view image denoising
605
Multiple view image denoising using 3D focus image stacks
606
Multiple View Image Reconstruction: A Harmonic Approach
607
Multiple view image rectification
608
Multiple View Integration and Display Using Virtual Mirrors
609
Multiple view learning based on tabular data
610
Multiple view motion estimation and control for landing an unmanned aerial vehicle
611
Multiple View Oriented Matching Algorithm for People Reidentification
612
Multiple view planning
613
Multiple view reconstruction of people
614
Multiple View Region Matching as a Lagrangian Optimization Problem
615
Multiple view scalability of presentations distributed to heterogeneous devices
616
Multiple view semantic segmentation for street view images
617
Multiple view semi-supervised discriminant analysis
618
Multiple View Stereo by Reflectance Modeling
619
Multiple view surface registration with error modeling and analysis
620
Multiple view time-frequency distributions
621
Multiple view tracking of humans modelled by kinematic chains
622
Multiple view vision
623
Multiple View Wide-Baseline Trimap Propagation for Natural Video Matting
624
Multiple view, multiple target tracking with principal axis-based data association
625
Multiple viewing zone three-dimensional integral imaging using a dynamic barrier array
626
Multiple Viewpoints a Strategy for Multi-Step Queries Based EMD in CBIR System
627
Multiple viewpoints architecture extraction
628
Multiple viewpoints for the development of complex software
629
Multiple views based human motion tracking in surveillance videos
630
Multiple views for ontology-based formal concept lattices
631
Multiple views gait recognition using View Transformation Model based on optimized Gait Energy Image
632
Multiple views in 3D metaphoric information visualization
633
Multiple Views on System Traces
634
Multiple views to support engineering change management for complex products
635
Multiple Views Tracking of Maritime Targets
636
Multiple virtual interfaces to support multi-homing hosts in PMIPv6 network
637
Multiple Virtual Lanes-aware MPI collective communication in multi-core clusters
638
Multiple virtual machine live migration in federated cloud systems
639
Multiple Virtual Private Networks Over Passive Optical Networks Using RF Subcarrier Multiplexing and Fabry&#8211;P&#201;rot Laser Diodes
640
Multiple vision agents navigating a mobile robot in a real world
641
Multiple ViSPs (visually servoed paired structured light systems) for 6-DOF structural displacement estimation
642
Multiple visual sensing system for mobile robot
643
Multiple Visualizations of the Personal Promotion Histories of Court Nobles in Japan
644
Multiple visual-targets tracking in decentralized wireless camera sensor networks
645
Multiple voltage and frequency scheduling for power minimization
646
Multiple voltage assignment based on PSO
647
Multiple Voltage Driving Method for Reducing Invalid Power Caused by Unlighted Lines in PDPs
648
Multiple voltage electrical power distribution system for automotive applications
649
Multiple Voltage Vectors Control Strategy for the Direct Torque Control Using Matrix Converters
650
Multiple voltage-based scheduling methodology for low power in the high level synthesis
651
Multiple voltage-supplies in TSV-based three-dimensional (3D) power distribution networks
652
Multiple volume injection technique for high-resolution DNA sample detection utilizing planar microfluidic chip
653
Multiple Volume Scattering Effects In Microwave Polarimetric Remote Sensing
654
Multiple voting systolic array
655
Multiple Vs Wide Shared Bus Multiprocessors
656
Multiple vulnerabilities in SNMP
657
Multiple watermark embedding scheme in wavelet-spatial domains based on ROI of medical images
658
Multiple Watermarking Based on Spread Transform
659
Multiple watermarking of medical images for content authentication and recovery
660
Multiple watermarking on digital audio based on DWT technique
661
Multiple watermarking on digital medical images for tamper detection and integrity control
662
Multiple Watermarking Relational Databases Using Image
663
Multiple watermarking: is power sharing better than time sharing?
664
Multiple watermarks for medical image based on DWT-DFT
665
Multiple watermarks for stereo audio signals using phase-modulation techniques
666
Multiple Wave Reflections In The Systemic Arterial System
667
Multiple waveforms separation based on oblique projection for collocated MIMO radar
668
Multiple wavefront algorithms for pattern-based processing of object-oriented databases
669
Multiple waveguide discontinuity modelling with restricted mode interaction
670
Multiple waveguide-fiber connector for PLC modules for optical circuit board integration
671
Multiple Waveguides Fabricated Simultaneously by Femtosecond Laser Pulses Inside Fused Silica Glasses Using a Multiple Foci Approach
672
Multiple wavelength all-fibre DFB lasers
673
Multiple wavelength amplification in wide band high power 1550 nm quantum dash optical amplifier
674
Multiple wavelength analysis of radiation induced attenuation on optical fibres: a novel approach in fibre optic dosimetry
675
Multiple wavelength analysis of radiation-induced attenuation on optical fibers: a novel approach in fiber optic dosimetry
676
Multiple wavelength and wavelength switchable actively mode-locked laser based on semiconductor optical amplifier
677
Multiple wavelength aperture synthesis for passive sensing of the earth´s surface
678
Multiple wavelength bidirectional transmission for subscriber loop applications
679
Multiple wavelength conversion for WDM multicasting by FWM in an SOA
680
Multiple wavelength conversion with gain by high repetition-rate pulsed-pump fiber OPA
681
Multiple wavelength demultiplexing using an ultrafast nonlinear interferometer
682
Multiple Wavelength Emission From Semipolar InGaN/GaN Quantum Wells Selectively Grown by MOCVD
683
Multiple Wavelength Emission From Semipolar InGaN/GaN Quantum Wells Selectively Grown by MOCVD
684
Multiple wavelength generation from a mode locked silicon evanescent laser
685
Multiple wavelength generation in a picosecond optical parametric oscillator based on noncritically phase-matched LiB/sub 3/O/sub 5/
686
Multiple wavelength generation with Brillouin/erbium fiber lasers
687
Multiple wavelength interferometry for distance measurement
688
Multiple wavelength load balancing in TWDM-PON
689
Multiple wavelength micromachined GaAlAs/GaAs vertical cavity filter array
690
Multiple wavelength operation of a unidirectional Er-doped fiber ring laser with optical feedback
691
Multiple wavelength operation of an erbium-doped fiber laser
692
Multiple wavelength operation of distributed feedback fiber lasers
693
Multiple wavelength optical filters using gratings waveguides
694
Multiple wavelength optical packet switching by InP integrated photonic devices
695
Multiple wavelength Q-switched fiber laser
696
Multiple wavelength sources, detectors, and routers
697
Multiple wavelength tunable surface-emitting laser arrays
698
Multiple wavelength vertical cavity laser array on a patterned substrate
699
Multiple wavelength vertical-cavity laser array employing molecular beam epitaxy regrowth
700
Multiple wavelength vertical-cavity surface-emitting laser arrays with use of surface-controlled MOCVD growth rate enhancement and reduction
701
Multiple wavelet analysis of amplitude/frequency modulated images
702
Multiple wavelet basis image denoising by Wiener filter and projective method
703
Multiple wavelet basis image denoising using Besov ball projections
704
Multiple wavelet denoising for embolic signal enhancement
705
Multiple wavelet threshold estimation by generalized cross validation for images with correlated noise
706
Multiple wavelet transforms for speckle reduction of SAR images
707
Multiple Waypoint Path Planning for a Mobile Robot using Genetic Algorithms
708
Multiple Web search visualization using dynamic fields
709
Multiple weighted cellular automata
710
Multiple Weighted Objectives Heuristic for the Redundancy Allocation Problem
711
Multiple weighted prediction models for video coding with brightness variations
712
Multiple WFs modeling for reliability estimation of generating system
713
Multiple Wideband Acoustic Source Tracking in 3-D Space Using a Distributed Acoustic Vector Sensor Array
714
Multiple wideband radiation sources direction finding algorithm under background of interference
715
Multiple widths yield reliable finite differences
716
Multiple widths yield reliable finite differences [computer vision]
717
Multiple window based minimum variance broadband spatial spectrum estimation
718
Multiple window based minimum variance spectrum estimation for multidimensional random fields
719
Multiple Window Based Ultrawideband Microwave Imaging for Early-Stage Breast Cancer Detection
720
Multiple Window Bispectrum Estimator
721
Multiple Window Correlation Analysis of HRV Power and Respiratory Frequency
722
Multiple window correlation estimation with applications in adaptive filtering
723
Multiple Window Decomposition of Time-Frequency Kernels Using A Penalty Function for Suppressed Sidelobes
724
Multiple window non-linear time-varying spectral analysis
725
Multiple window spectrogram and time-frequency distributions
726
Multiple window time-frequency analysis
727
Multiple window time-frequency distribution and coherence of EEG using Slepian sequences and Hermite functions
728
Multiple window time-varying spectral analysis
729
Multiple windowed spectral features for emotion recognition
730
Multiple Windows for Estimation of Locally Stationary Transients in the Electroencephalogram
731
Multiple windows, task complexity, and SQA effectiveness
732
Multiple window-sliding search
733
Multiple WNIC-based handoff in IEEE 802.11 WLANs
734
Multiple word segmentation with interactive look-up for cursive script recognition
735
Multiple word/bit line redundancy for semiconductor memories
736
Multiple working mode approach to manipulation of unknown mechanisms using a serial robot: A special case of constrained motion with active and passive joints
737
Multiple Working Mode Control of Door-Opening With a Mobile Modular and Reconfigurable Robot
738
Multiple worlds in simulation games for spatial decision making: Concept and architecture
739
Multiple worlds model for motif discovery
740
Multiple Wrappers Information Extraction Method Based on Tree Model
741
Multiple X-Ray Beam Radiography for Detecting Dental Demineralization
742
Multiple zone beamforming in FOCUS
743
Multiple zone inverse diffusion solver for silicon processing
744
Multiple, arbitrary shape ROI coding with zerotree based wavelet coders
745
Multiple, high-gain, common-emitter operational amplifiers using super- ß lateral transistors
746
Multiple, simultaneous, coupled, one-dimensional MHD calculations for modeling two-dimensional, coaxial flux-compression generators
747
Multiple/Distributed Target Detection for HRR
748
Multiple/mobile-agent-based network management systems for Taiwan´s National Broadband Experimental Networks
749
Multiple-AAL-Receive-Engine
750
Multiple-access algorithms for a system with mixed traffic: high and low priority
751
Multiple-Access Capabilities of Amplify-and-Forward Relaying
752
Multiple-Access Capability of Frequency-Hop Spread-Spectrum Communication
753
Multiple-Access Capability Of Frequency-Hopped Spread-Spectrum Cellular Radio Communications
754
Multiple-access capability of frequency-hopped spread-spectrum revisited: an analysis of the effect of unequal power levels
755
Multiple-access capability of LED visible light communication with low-frame-rate CMOS camera for control and data transmission of mobile objects
756
Multiple-Access Capability of Synchronous FHSS Wireless Networks: An Analysis of the Effects of the Spacing Between Hopping Carriers
757
Multiple-access capacity gains using multiuser detection under uniform linear power spacing
758
Multiple-access capacity in mobile user satellite systems
759
Multiple-access channel capacity region with incomplete channel state information
760
Multiple-Access Channel Model for P2P Networks
761
Multiple-access channel with correlated states and cooperating encoders
762
Multiple-access channel with delayed state information via directed information
763
Multiple-Access Channel With Partial and Controlled Cribbing Encoders
764
Multiple-Access Channels With Confidential Messages
765
Multiple-access channels with correlated sources - coding subject to a fidelity criterion
766
Multiple-access channels with different generalized feedback signals
767
Multiple-Access Channels with Distributed Channel State Information
768
Multiple-access channels with memory with and without frame synchronism
769
Multiple-access channels with point-process observations: Optimum demodulation
770
Multiple-Access Communication with Binary Orthogonal Sine and Cosine Pulses Using Heavy Amplitude Clipping
771
Multiple-access communications for underwater acoustic sensor networks using OFDM-IDMA
772
Multiple-access communications satellites
773
Multiple-Access Considerations--A Satellite Example
774
Multiple-access decode-and-forward relaying with iterative detection and decoding
775
Multiple-access design for ad hoc UWB position-location networks
776
Multiple-access discrete-address communication systems
777
Multiple-Access Fading Channel With Wireless Power Transfer and Energy Harvesting
778
Multiple-access insights from bounds on sensor localization
779
Multiple-access interference constrained source extraction in wireless sensor networks
780
Multiple-access interference in relation to time-hopping correlation properties in multiple-access UWB system
781
Multiple-access interference management in TH-PPM UWB systems with pulse width randomisation
782
Multiple-Access Interference Mitigation and Iterative Demodulation of CPFSK in Asynchronous Slow FHSS Systems
783
Multiple-Access Interference Plus Noise-Constrained Least Mean Square (MNCLMS) Algorithm for CDMA Systems
784
Multiple-access interference processes are self-similar in multimedia CDMA cellular networks
785
Multiple-access interference reduction for QS-CDMA systems with a novel class of polyphase sequences
786
Multiple-access interference suppression employing block-adaptation filtering technique in multipath DS-CDMA
787
Multiple-Access Interference Suppression for Interleaved OFDMA System Uplink
788
Multiple-Access Interference Suppression for MC-CDMA by Frequency-Domain Oversampling
789
Multiple-access interference suppression for MC-CDMA by frequency-domain oversampling
790
Multiple-access interference suppression for OFDM systems based on Doppler shifts
791
Multiple-access interference suppression in an optical DS-CDMA LAN using fractionally-spaced equalization
792
Multiple-access interference-resistant acquisition for band-limited CDMA systems with random sequences
793
Multiple-access moving picture information system (MAMI)
794
Multiple-Access Network Information-Flow and Correction Codes
795
Multiple-access optical chaos-based communications using optoelectronic systems
796
Multiple-access optical network architecture employing a wavelength-and-network-division technique: MANDALA
797
Multiple-Access Performance Analysis of Combined Time-Hopping and Spread-Time CDMA System in the Presence of Narrowband Interference
798
Multiple-access performance limits with time hopping and pulse position modulation
799
Multiple-access performance of slightly frequency-shifted reference UWB communications
800
Multiple-Access Performance of Transmitted Reference UWB Communications with M-ary PPM
801
Multiple-access protocols for satellite packet communication networks: A performance comparison
802
Multiple-access protocols: fairness in heterogeneous systems
803
Multiple-Access Quantum Key Distribution Networks
804
Multiple-access relay channel with direct network coding
805
Multiple-Access Relay Channel with Network Coding and Non-Ideal Source-Relay Channels
806
Multiple-access relay channels with non-causal side information at the relay
807
Multiple-access relay system based on nested distributed turbo code
808
Multiple-Access Relay Wiretap Channel
809
Multiple-access scheme optimisation for artificial neuronal networks
810
Multiple-Access Slightly Frequency-Shifted Reference Ultra-Wideband Communications for Dense Multipath Channels
811
Multiple-Access Strategies for Frequency-Selective MIMO Channels
812
Multiple-access techniques for commercial satellites
813
Multiple-Access Tradeoff Study for Intra-South American Satellite Communication System
814
Multiple-Access via Turbo Joint Equalization
815
Multiple-access wiretap channel with common channel state information at the encoders
816
Multiple-access with time hopping and block waveform PPM modulation
817
Multiple-accessing over frequency-selective fading channels
818
Multiple-Agent Perspectives in Reasoning About Situations for Context-Aware Pervasive Computing Systems
819
Multiple-agent probabilistic pursuit-evasion games
820
Multiple-airgap iron-cored direct-driven permanent magnet wind generators
821
Multiple-amplifier RC-active filter design with emphasis on GIC realizations (Invited Paper)
822
Multiple-angle Hand Gesture Recognition by Fusing SVM Classifiers
823
Multiple-Angle Observations of Reflectance Anisotropy from an Airborne Linear Array Sensor
824
Multiple-antenna capacity in a deterministic Rician fading channel
825
Multiple-antenna capacity in correlated Rayleigh fading with channel covariance information
826
Multiple-antenna capacity in correlated Rayleigh fading with channel covariance information
827
Multiple-antenna capacity in the low-power regime
828
Multiple-antenna capacity in the low-power regime: channel knowledge and correlation
829
Multiple-antenna CDMA mobile reception using constrained normalized adaptive algorithms
830
Multiple-antenna channel hardening and its implications for rate feedback and scheduling
831
Multiple-antenna channels from a combined physical and networking perspective
832
Multiple-antenna communication systems: an emerging technology
833
Multiple-Antenna Cooperative Wireless Systems: A Diversity–Multiplexing Tradeoff Perspective
834
Multiple-Antenna Data Broadcasting for Environments With Locality of Demand
835
Multiple-antenna differential lattice decoding
836
Multiple-antenna diversity techniques for transmission over fading channels
837
Multiple-Antenna Fading Channels With Arbitrary Inputs: Characterization and Optimization of the Information Rate
838
Multiple-Antenna Interference Cancellation and Detection for Two Users Using Precoders
839
Multiple-Antenna Interference Cancellation and Detection for Two Users Using Quantized Feedback
840
Multiple-antenna interference channel with receive antenna joint processing and real interference alignment
841
Multiple-Antenna Interference Channels With Real Interference Alignment and Receive Antenna Joint Processing Based on Simultaneous Diophantine Approximation
842
Multiple-Antenna Multicasting Using Channel Orthogonalization and Local Refinement
843
Multiple-Antenna Multiple-Relay Cooperative Communication System with Beamforming
844
Multiple-antenna phase-gradient detection for OAM radio communications
845
Multiple-Antenna Post Low Noise Amplifier RF Combining
846
Multiple-antenna reception and reduced-state Viterbi detection for block transmission systems
847
Multiple-antenna signal constellations for fading channels
848
Multiple-antenna signal constellations for fading channels
849
Multiple-antenna signal detection in cognitive radio networks with multiple primary user signals
850
Multiple-Antenna Signaling Over Fading Channels With Estimated Channel State Information: Capacity Analysis
851
Multiple-Antenna Signaling Over Fading Channels With Estimated Channel State Information: Performance Analysis
852
Multiple-antenna systems and wireless networks
853
Multiple-antenna techniques for wireless communications - a comprehensive literature survey
854
Multiple-antenna techniques in LTE-advanced
855
Multiple-antenna transmission of additional data in ATSC terrestrial DTV systems
856
Multiple-antenna transmission with partial side information
857
Multiple-antenna Transmitted-Reference communication systems performance in presence of narrowband interference
858
Multiple-antenna-aided OFDM employing genetic-algorithm-assisted minimum bit error rate multiuser detection
859
Multiple-Antenna-Based Blind Spectrum Sensing in the Presence of Impulsive Noise
860
Multiple-antenna-diversity for FM carradio reception
861
Multiple-antennas and isotropically random unitary inputs: the received signal density in closed form
862
Multiple-antennas and isotropically-random unitary inputs: the received signal density in closed-form
863
Multiple-aperture electrostatic lens systems employed in a vacuum microelectronic field emitter environment
864
Multiple-aperture imaging spectrometer: computer simulation and experimental validation
865
Multiple-aperture mesh reflector antenna radiation pattern characteristics
866
Multiple-arc 100 kV 2.0 MA solid-dielectric switch
867
Multiple-arc propagation over an ice surface
868
Multiple-Arm Folded Monopole Antenna Operating Extremely Close to a Conductive Plane
869
Multiple-array based detection in correlated noise fields
870
Multiple-aspect Fixed-Range Template Matching for the detection and classification of underwater unexploded ordnance in DIDSON sonar images
871
Multiple-atlas-based automatic sementation of hippocampus for lateralization in temporal lobe epilepsy
872
Multiple-Attempt Decoding of Convolutional Codes Over Rayleigh Channels
873
Multiple-attribute decision making under uncertainty: the evidential reasoning approach revisited
874
Multiple-Attribute Decision Making with Complete-Imperfect Substitution between Attributes
875
Multiple-attribute decision making with estimation of preferences of multiple evaluators
876
Multiple-Attribute Group Decision Making With Different Formats of Preference Information on Attributes
877
Multiple-Attribute Hierarchy Group Decision-Making on Regional Water Resources Planning Scheme
878
Multiple-authority-keys CP-ABE
879
Multiple-availability-level ATM network architecture
880
Multiple-average-voice-based speech synthesis
881
Multiple-axis synchronization evaluation for CNC machine tool based on sensorless measurement
882
Multiple-band antenna coupled rectifier circuit for ambient RF energy harvesting for WSN
883
Multiple-Band Antenna for In-building Coverage Operation
884
Multiple-band bit-level switching in two-pump fiber parametric devices
885
Multiple-Bandpass and Narrow-Bandpass Surface Waves Filters
886
Multiple-banked register file architectures
887
Multiple-bases belief-propagation decoding of high-density cyclic codes
888
Multiple-Bases Belief-Propagation for Decoding of Short Block Codes
889
Multiple-beam adaptive array architecture using channel-level FDMA concept
890
Multiple-beam amplifier development at NRL
891
Multiple-beam amplifiers
892
Multiple-beam and polarization characteristics of the 100-m Effelsberg radiotelescope
893
Multiple-beam antenna for domestic communication satellites
894
Multiple-beam antennas: Offset reflectors with offset feeds
895
Multiple-beam cathode ray tube design overview
896
Multiple-beam cloverleaf slow-wave structure ??cold-test?? simulation results analysis
897
Multiple-beam collapse in Kerr media
898
Multiple-beam dual-offset reflector antenna with an array feed
899
Multiple-beam fiber-optic beamformer with binary array of delay lines
900
Multiple-beam free electron masers with distributed bremsstrahlung
901
Multiple-Beam Interferometry
902
Multiple-beam klystron amplifiers: performance parameters and development trends
903
Multiple-beam klystron development at the Naval Research Laboratory
904
Multiple-beam low-profile low-cost antenna
905
Multiple-beam microwave tubes
906
Multiple-beam Nd:YVO4 laser based on Dammann grating
907
Multiple-beam optically controlled beamformer using spatial-and-wavelength division multiplexing
908
Multiple-Beam Ramsey - Borde Interferometers
909
Multiple-beam sampler for continuously scanned array antennas
910
Multiple-beam torus earth station antennas for wide-area-coverage geosynchronous satellite systems
911
Multiple-bit all-optical logic based on cross-gain modulation in a semiconductor optical amplifier
912
Multiple-bit differential detection of DOQPSK - revisited
913
Multiple-bit differential detection of offset QPSK
914
Multiple-Bit Differential Detection of Shaped-Offset QPSK
915
Multiple-bit parallel CDMA data transfer over common bus
916
Multiple-bit parallel-CDMA technique for an on-chip interface featuring high data transmission rate, small latency and high noise tolerance
917
Multiple-Bit Upset Analysis in 90 nm SRAMs: Heavy Ions Testing and 3D Simulations
918
Multiple-Bit Upset in 130 nm CMOS Technology
919
Multiple-Bit Upset Protection in Microprocessor Memory Arrays Using Vulnerability-Based Parity Optimization and Interleaving
920
Multiple-Bit-Rate synchronous terminals towards ISDN
921
Multiple-bits slow light by cascading semiconductor optical amplifiers in a loop configuration
922
Multiple-bits-slot reservation aloha protocol for tag identification
923
Multiple-bit-upset and single-bit-upset resilient 8T SRAM bitcell layout with divided wordline structure
924
Multiple-bridge PWM current-regulated power amplifier for magnetic resonance imaging system and its feasible digital control implementation
925
Multiple-bridge-loop reader antenna for improved positioning and localisation
926
Multiple-Bug Oriented Fault Localization: A Parameter-Based Combination Approach
927
Multiple-burst correction techniques for slowly fading channels
928
Multiple-burst error-correcting cyclic product codes (Corresp.)
929
Multiple-bus multiprocessor systems
930
Multiple-bus shared-memory system: Aquarius project
931
Multiple-call handover decisions using fuzzy MCGDM in heterogeneous wireless networks
932
Multiple-camera lane departure warning system for the automotive environment
933
Multiple-camera/motion stereoscopy for range estimation in helicopter flight
934
Multiple-Camera-Based Gesture Recognition by MDA Method
935
Multiple-Candidate Separation for PTS-Based OFDM Systems by Turbo Decoding
936
Multiple-capture performance of a common code DS/SSMA packet radio system
937
Multiple-capture performance of DS-SS packet radio systems with common spreading code
938
Multiple-Carrier Behavior of a Frequency-Selective Ferrite Limiter
939
Multiple-case outlier detection in least-squares regression model using quantum-inspired evolutionary algorithm
940
Multiple-Cases and Bibliometric Research on Management Fashion´s Dissemination in China
941
Multiple-cavity horn antennas
942
Multiple-cell-upset hardened 6T SRAM using NMOS-centered layout
943
Multiple-Cell-Upsets on a commercial 90nm SRAM in dynamic mode
944
Multiple-channel adaptive noise equalizers
945
Multiple-channel detection in active sensing
946
Multiple-channel detection of a Gaussian time series over frequency-flat channels
947
Multiple-channel detection of signals having known rank
948
Multiple-channel detection using generalized coherence
949
Multiple-channel frequency-adaptive active vibration control using SONIC
950
Multiple-channel GaAs/AlGaAs high electron mobility transistors
951
Multiple-channel Mueller-matrix optical coherence tomography in biological tissue
952
Multiple-channel multicast scheduling for scalable bulk-data transport
953
Multiple-channel optimized quantizers for Rayleigh fading channels
954
Multiple-channel OSNR monitoring using integrated planar lightwave circuit and fast fourier transform techniques
955
Multiple-channel OSNR monitoring with a single detector
956
Multiple-channel output all-optical demultiplexer based on TDM-WDM conversion utilizing time-division chirping control of chirped clock pulse
957
Multiple-channel output all-optical OTDM demultiplexer using XPM-induced chirp compensation (MOXIC)
958
Multiple-Channel Telephony on VHF Radio Links
959
Multiple-Channel UHF Frequency Synthesizer Using Acoustic Surface-Wave Filters
960
Multiple-chip module design optimizations using a novel layout parameterization technique
961
Multiple-chip module design optimizations using a novel layout parameterization technique
962
Multiple-chip package embedded on compound board for light emitting diode
963
Multiple-chip precise self-aligned assembly for hybrid integrated optical modules using Au-Sn solder bumps
964
Multiple-chirp typed blind watermarking algorithm based on fractional Fourier transform
965
Multiple-chi-square tests and their application on distinguishing attacks
966
Multiple-Choice Allocations with Fixed Densities
967
Multiple-choice hardware/software partitioning: Computing model and algorithms
968
Multiple-Choice Question Enhanced with Interactive Software for Autonomous Learning
969
Multiple-Choice Random Network for Server Load Balancing
970
Multiple-choice testing: Knowledge, or random choice?
971
Multiple-cladding-resonance all-solid photonic bandgap fibers with large mode area
972
Multiple-class Land Cover Mapping at the Sub-pixel Scale using an Innovated CA Model
973
Multiple-clock multiple-edge-triggered multiple-bit flip-flops for two-phase handshaking asynchronous circuits
974
Multiple-clone configuration of relocatable partial bitstreams in Xilinx Virtex FPGAs
975
Multiple-clue face detection algorithm using edge-based feature vectors
976
Multiple-cluster adaptive training schemes
977
Multiple-clustering ARMAX-based predictor and its application to freeway traffic flow prediction
978
Multiple-code ISMA for short burst data service in wireless CDMA networks
979
Multiple-codebook run-length vector quantization for image coding
980
Multiple-collector semiconductor devices in molecular electronics
981
Multiple-color GaAs/AlGaAs superlattice infrared photodetector controlled by the polarity and magnitude of the bias voltage
982
Multiple-compartment chip for parallel recordings of epileptic activity from organotypic cultures
983
Multiple-Complex Coefficient-Filter-Based Phase-Locked Loop and Synchronization Technique for Three-Phase Grid-Interfaced Converters in Distributed Utility Networks
984
Multiple-Component Scattering Model for Polarimetric SAR Image Decomposition
985
Multiple-cone formation during the femtosecond-laser pulse propagation in silica
986
Multiple-constraint variational framework and image restoration problems
987
Multiple-contact representation for the real-time volume haptic rendering of a non-rigid object
988
Multiple-Core under Test Architecture for HOY Wireless Testing Platform
989
Multiple-cost constraints for the design of tree-structured vector quantizers
990
Multiple-coupled microstrip hairpin-resonator filter
991
Multiple-coupled microstrip hairpin-resonator filter
992
Multiple-criteria call admission control scheme for ATM networks
993
Multiple-criteria decision making using isomorphism
994
Multiple-criteria decision-making of distribution system planning considering distributed generation
995
Multiple-criteria decisionmaking: A retrospective analysis
996
Multiple-criteria genetic algorithms for feature selection in neuro-fuzzy modeling
997
Multiple-Criteria Linear Programming for VIP E-Mail Behavior Analysis
998
Multiple-Criteria Sorting Using Case-Based Distance Models With an Application in Water Resources Management
999
Multiple-CSI selection methods for CoMP downlink
1000
Multiple-cue data fusion with particle filters for vehicle detection in night view automotive applications
بازگشت