<< مقالات لاتين فني مهندسي >>
<< بر اساس عنوان >>
1
Delay analysis of bursty traffic in finite-buffer disruption-tolerant networks with two-hop routing
2
Delay analysis of carrier sense multiple access with collision resolution
3
Delay analysis of CMOS gates using modified logical effort model
4
Delay Analysis of Combined Input-Crosspoint Queueing Switches
5
Delay analysis of continuous bit rate traffic over an ATM network
6
Delay analysis of converged optical-wireless networks with quality of service support
7
Delay Analysis of Cooperative Communication with Opportunistic Relay Access
8
Delay Analysis of Cooperative Truncated HARQ With Opportunistic Relaying
9
Delay analysis of coupled transmission lines
10
Delay analysis of data transmission system with channel coding
11
Delay analysis of DBA using fuzzy logic for upstream EPON
12
Delay analysis of different backoff algorithms in IEEE 802.11
13
Delay analysis of disruption tolerant networks with two-hop routing in a finite-buffer regime
14
Delay Analysis of Distributed Reservation Protocol with UWB Shadowing Channel for WPAN
15
Delay analysis of DRP in MBOA UWB MAC
16
Delay Analysis of Enhanced Relay-Enabled Distributed Coordination Function
17
Delay analysis of epidemic routing in community-based Delay Tolerant Networks
18
Delay analysis of ethernet passive optical networks with quasi-leaved polling and gated service scheme
19
Delay analysis of feedback-synchronization signaling for multicast flow control
20
Delay analysis of gate-adjusted CNTFETs for undeposited CNT defect-tolerance
21
Delay Analysis of Graphene Field-Effect Transistors
22
Delay analysis of heterogeneous cellular networks
23
Delay Analysis of IEEE 802.11 DCF with Back-off Suspension
24
Delay analysis of IEEE 802.11 in single-hop networks
25
Delay analysis of IEEE 802.11 PCF MAC based wireless networks
26
Delay Analysis of IEEE 802.11e EDCA Under Unsaturated Conditions
27
Delay analysis of IEEE 802.15.4 slotted CSMA/CA considering deference mechanisms
28
Delay Analysis of IEEE 802.15.6 CSMA/CA Mechanism in Duty-Cycling WBANs
29
Delay analysis of IEEE 802.16 wireless metropolitan area network
30
Delay analysis of integrated voice and data service for GPRS
31
Delay Analysis of Interacting Queues with an Approximate Model
32
Delay analysis of interval-searching contention resolution algorithms
33
Delay analysis of mas based negotiations for cellular service providers
34
Delay analysis of maximum weight scheduling in wireless Ad Hoc networks
35
Delay Analysis of Max-Weight Queue Algorithm for Time-Varying Wireless Ad hoc Networks—Control Theoretical Approach
36
Delay analysis of micropipelines
37
Delay analysis of multicast switches with multiple input queues
38
Delay analysis of multihop cognitive radio networks using network of virtual priority queues
39
Delay analysis of multiserver ATM buffers
40
Delay analysis of multi-user dynamic spectrum access networks
41
Delay analysis of network coding in linear wireless sensor networks
42
Delay analysis of network coding nodes and Butterfly network employing stop-and-wait automatic repeat request
43
Delay analysis of neuron-MOS and capacitive threshold-logic
44
Delay Analysis of OFDMA-Aloha
45
Delay analysis of optical burst switching networks
46
Delay Analysis of Orderly Reattempts in Retrial Queueing System with Phase Type Retrial Time
47
Delay Analysis of Packet Switching System with a Satellite Having Processing Capability
48
Delay analysis of physical layer key generation in multi-user dynamic wireless networks
49
Delay analysis of priority queues with modulated traffic
50
Delay Analysis of Scheduling Algorithms for Real-Time Traffic in Packet Switched Networks
51
Delay analysis of scheduling policies in wireless networks
52
Delay analysis of selective repeat ARQ for a Markovian source over a wireless channel
53
Delay analysis of selective-repeat ARQ with applications to link adaptation in wireless packet data systems
54
Delay analysis of self-timing-aligned clock synchronization technique for superconductive SFQ logic circuits
55
Delay analysis of series-connected MOSFET circuits
56
Delay analysis of Si NMOS Gbit/s logic circuits
57
Delay analysis of signal control policies for an isolated intersection
58
Delay Analysis of Single-hop Communication in Wireless SensorNetworks with Mobile Sink
59
Delay Analysis of Slotted OBS Networks Under DAOBS MAC Protocol
60
Delay analysis of small IP packets in GPRS RLC layer
61
Delay analysis of space division output buffered multichannel switches
62
Delay Analysis of Spatio-Temporal Channel Access for Cognitive Networks
63
Delay analysis of structural real-time workload
64
Delay analysis of sub-path on fabricated chips by several path-delay tests
65
Delay Analysis of TASI with Random Fluctuations in the Number of Voice Calls
66
Delay analysis of the n-ary stack random-access algorithm
67
Delay analysis of the approximate maximum weight scheduling in wireless networks
68
Delay Analysis of the Distributed RC Line
69
Delay analysis of the DQDB MAN based on a simple model
70
Delay analysis of the FDDI synchronous data class
71
Delay analysis of the IEEE 802.11 DCF
72
Delay analysis of the Max-Weight policy under heavy-tailed traffic via fluid approximations
73
Delay analysis of the selective-repeat ARQ with the per flow resequencing
74
Delay analysis of the Singapore National CCSS# 7 network under fault and unbalanced loading conditions
75
Delay analysis of timed-token protocol and its application to a hybrid switching system
76
Delay Analysis of Timer-Based Frame Coalescing in Energy Efficient Ethernet
77
Delay analysis of tree algorithms with different additional of feedback information
78
Delay analysis of tree conflict resolution algorithm with and without broadcast reservation using random or pseudo random addressing
79
Delay analysis of two way time transfer based on troposphere gradients
80
Delay analysis of unidirectional fiber-optic bus networks
81
Delay analysis of various service disciplines in symmetric token passing networks
82
Delay analysis of VeMAC supporting periodic and event-driven safety messages in VANETs
83
Delay Analysis of VLSI Interconnections Using the Diffusion Equation Model
84
Delay analysis of Wireless Ad Hoc networks: Single vs. multiple radio
85
Delay Analysis of Wireless Broadcasting Retransmission Algorithm Based on Network Coding
86
Delay Analysis of Wireless Nakagami Fading Channels
87
Delay analysis of wormhole based heterogeneous NoC
88
Delay analysis of wormhole based heterogeneous NoC
89
Delay Analysis Under a General Cut-Through Switching Technique in Computer Networks
90
Delay analysis using FDTD for source synchronous interfaces
91
Delay and amplitude focusing through the body wall; a simulation study
92
Delay and Area Efficient First-level Cache Soft Error Detection and Correction
93
Delay And Area Optimization For Compact Placement By Gate Resizing And Relocation
94
Delay and area optimization for discrete gate sizes under double-sided timing constraints
95
Delay and area optimization in standard-cell design
96
Delay and backlog distribution analysis of Amplify-and-Forward cooperative channels: A stochastic network calculus perspective
97
Delay and Bandwidth Constrained Routing with Throughput Optimization in TDMA-Based MANETs
98
Delay and Broadcast Reception Rates of Highway Safety Applications in Vehicular Ad Hoc Networks
99
Delay and bus current evaluation in CMOS logic circuits
100
Delay and Capacity Analysis in MANETs with Correlated Mobility and {f} -Cast Relay
101
Delay and capacity analysis of structured P2P overlay for IP telephony
102
Delay and Capacity in Ad Hoc Mobile Networks with ??-Cast Relay Algorithms
103
Delay and Capacity in Ad Hoc Mobile Networks with f-cast Relay Algorithms
104
Delay and Capacity Optimization in Multi-radio Multi-channel Wireless Mesh Networks
105
Delay and Capacity Tradeoff Analysis for MotionCast
106
Delay and Capacity Trade-Offs in Mobile Ad Hoc Networks: A Global Perspective
107
Delay and Capacity Trade-Offs in Mobile Ad Hoc Networks: A Global Perspective
108
Delay and Clock Skew Variation due to Coupling Capacitance and Inductance
109
Delay and coding gain analysis of a truncated type-II hybrid ARQ protocol
110
Delay and Crosstalk on Future 32 nm Node Interconnects: Impact of ULK-Air-Gap Architecture
111
Delay and crosstalk simulation of high-speed VLSI interconnects with nonlinear terminations
112
Delay and crosstalk simulation of high-speed VLSI interconnects with nonlinear terminations
113
Delay and current estimation in a CMOS inverter with an RC load
114
Delay and data packet dropout separately related stability and state feedback stabilisation of networked control systems
115
Delay and data rate decoupled fair queueing for wireless multimedia networks
116
Delay and disruption tolerant Web services for heterogeneous networks
117
Delay and Disruption Tolerant Wireless Communication
118
Delay- and Disruption-Tolerant Bluetooth MANET-Based Dual-Purpose Systems for Normal and Disaster Situations
119
Delay- and Disruption-Tolerant Networking
120
Delay- and Disruption-Tolerant Networking (DTN): An Alternative Solution for Future Satellite Networking Applications
121
Delay and DOA estimation for chip-asynchronous DS-CDMA systems using reduced rank space-time processing
122
Delay and DOA estimation in CDMA communication systems via maximum likelihood techniques
123
Delay and Doppler estimation by time-space partition of the array data
124
Delay and Doppler estimation using cyclostationarity based cross correlation in a multipath environment
125
Delay and Doppler Induced Direct Tracking by Particle Filter
126
Delay and Doppler scale estimation of multiple moving targets via DS-WRELAX
127
Delay and Doppler shift estimation for OFDM-based radar-radio (RadCom) system
128
Delay and Doppler Shift Joint Tracking Method for OFDM Based Aeronautical Communication Systems
129
Delay and Doppler spreading characteristics of the wide-bandwidth HF channel
130
Delay and Doppler Spreads of Nonstationary Vehicular Channels for Safety-Relevant Scenarios
131
Delay and Energy Analysis of SEU and SET-Tolerant Pipeline Latches and Flip-Flops
132
Delay and energy constrained dynamic power control
133
Delay and Energy Constrained Random Access Transport Capacity
134
Delay and energy efficiency tradeoffs for data collections and aggregation in large scale wireless sensor networks
135
Delay and energy efficient data transmission for on-chip buses
136
Delay and Energy Efficient Design of On-Chip Encoded Bus with Repeaters
137
Delay and energy optimal two-hop relaying in delay tolerant networks
138
Delay and Energy Tradeoff in Multi-State Wireless Sensor Networks
139
Delay and Energy-Efficient STDMA for Grid Wireless Sensor Networks: ORCHID
140
Delay and interference comparison of CSMA and self-organizing TDMA when used in VANETs
141
Delay and its Time-Derivative Dependent Absolute Stability of Lurie-Type System with Time-Varying Delay
142
Delay and its time-derivative dependent robust stability of time-delayed linear systems with uncertainty
143
Delay and its time-derivative dependent Stability of teleoperation systems
144
Delay and Jitter Analysis of Generalized Demand-Assignment Multiple Access (DAMA) Protocols with General Traffic
145
Delay and jitter attacks on hierarchical state estimation
146
Delay and Jitter Characterization for Software-Based Clock Synchronization Over WLAN Using PTP
147
Delay and Jitter Closed-Form Expressions for Cross-Layer Hybrid ARQ Schemes
148
Delay and jitter in long-reach GPON
149
Delay and jitter minimization in active diffusion computing
150
Delay and loss control of an output buffered fast packet switch supporting integrated services
151
Delay and loss-based transport protocols: Buffer-sizing and stability
152
Delay and noise formulas for capacitively coupled distributed RC lines
153
Delay and Overhead in the Encoding of Data Sources
154
Delay and power based network assessment of network layer protocols in MANET
155
Delay and power consumption estimation in embedded systems using hierarchical performance modeling
156
Delay and power consumption of fault tolerant data busses in VDSM technology
157
Delay and power consumption of static bulk-CMOS gates using independent bodies
158
Delay and Power Efficient Voice Transmission over MANET
159
Delay and power estimation for a CMOS inverter driving RC interconnect loads
160
Delay and power expressions characterizing a CMOS inverter driving an RLC load
161
Delay and power expressions for a CMOS inverter driving a resistive-capacitive load
162
Delay and Power Minimization in VLSI Interconnects with Spatio-Temporal Bus-Encoding Scheme
163
Delay and power model for current-mode signaling in deep submicron global interconnects
164
Delay and Power Monitoring Scheme for Minimizing Power Consumption by Means of Supply and Threshold Voltage Control
165
Delay and power monitoring schemes for minimizing power consumption by means of supply and threshold voltage control in active and standby modes
166
Delay and Power Optimization in VLSI Circuits
167
Delay and power optimization with TSV-aware 3D floorplanning
168
Delay and power optimized register blocks for the low power microcontrollers
169
Delay and power tradeoffs for static and dynamic register files
170
Delay and Predict Equalization for Blind Speech Dereverberation
171
Delay and probability discounting in risky decisions
172
Delay and queue size analysis of TDMA with general traffic
173
Delay and rate based multichannel scheduling for heterogeneous traffic
174
Delay and rate constrained transmission policies over wireless channels
175
Delay and rate satisfaction for data transmission with application in wireless communications
176
Delay and rate-optimal control in a multi-class priority queue with adjustable service rates
177
Delay and Redundancy in Lossless Source Coding
178
Delay and reflection noise macromodeling for signal integrity management of PCBs and MCMs
179
Delay and Robustness Analysis of a Distributed Scheme for Optimized Medium Access in Power-Controlled Networks
180
Delay and sampling independence of a consensus algorithm and its application to smart grid privacy
181
Delay and sampling-rate aware architectural synthesis in presence of communication overhead
182
Delay and saturation in controlled aircraft dynamics (stability and oscillations)
183
Delay and short-circuit power expressions characterizing a CMOS inverter driving resistive interconnect
184
Delay and slew analysis of VLSI interconnects using difference model approach
185
Delay and slew metrics using the lognormal distribution
186
Delay and Stability Analysis of Networked Robot System
187
Delay and state observer for SISO LTI systems
188
Delay and synchronization control middleware to support real-time multimedia services over wireless PCS networks
189
Delay and Throughput Analysis for Computer Communications with Balanced HDLC Procedures
190
Delay and throughput analysis for opportunistic decode-and-forward relay networks
191
Delay and Throughput Analysis of a Two-Way Opportunistic Network Coding-Based Relay Network
192
Delay and Throughput Analysis of IEEE 802.11e EDCA with Starvation Prediction
193
Delay and throughput Analysis of IEEE 802.11s Networks
194
Delay and throughput analysis of IEEE 802.16 ARQ mechanism
195
Delay and throughput analysis of the high speed variable length self-routing packet switch
196
Delay and throughput characteristics of TH, CDMA, TDMA, and hybrid networks for multipath faded data transmission channels
197
Delay and throughput characteristics of TH, CDMA, TDMA, and hybrids for multipath faded data transmission channels
198
Delay and Throughput Evaluation of Switching Methods in Computer Communication Networks
199
Delay and throughput for three transmission schemes in packet radio networks
200
Delay and Throughput in Random Access Wireless Mesh Networks
201
Delay and throughput of Long Term Evolution under TCP traffic
202
Delay and throughput of network coding with path redundancy for wireless mesh networks
203
Delay and Throughput Oriented Continuous Spectrum Sensing Schemes in Cognitive Radio Networks
204
Delay and throughput performance analysis of RPR´s three priorities traffic
205
Delay and throughput performance improvement in wireless sensor and actor networks
206
Delay and throughput performance of IEEE 802.16 WiMax mesh networks
207
Delay and Throughput Trade-Off in WiMAX Mesh Networks
208
Delay and transient response modelling of on-chip RLCG interconnect using two-port network functions
209
Delay and yield of CNFET-based circuits in the presence of variations
210
Delay approximation for synchronous filter topologies
211
Delay approximation for synchronous filter topologies
212
Delay Approximations for Correlation Measurements Using Analog Computers
213
Delay area efficient low voltage FVF based current comparator
214
Delay Asymmetry Correction Model for Master-Slave Synchronization Protocols
215
Delay Asymptotics and Scalability for Peer-to-Peer Live Streaming
216
Delay asymptotics for heavy-tailed MapReduce jobs
217
Delay asymptotics of the SRPT scheduler
218
Delay asymptotics with retransmissions and fixed rate codes over erasure channels
219
Delay Asymptotics With Retransmissions and Incremental Redundancy Codes Over Erasure Channels
220
Delay at Controlled Intersections: The Old Theory Revised
221
Delay attacks — Implication on NTP and PTP time synchronization
222
Delay aware adaptive scheduling in wireless LAN
223
Delay Aware Broadcast Forwarding Protocol for Asynchronous Duty-Cycled WSNs
224
Delay Aware Broadcast Scheduling in Wireless Networks Using Network Coding
225
Delay aware cell association and user scheduling in heterogeneous overlay networks
226
Delay aware joint routing and scheduling for multi-Tx-Rx Wireless Mesh Networks
227
Delay Aware Link Scheduling for Multi-Hop TDMA Wireless Networks
228
Delay Aware Multipath Doppler Routing in Aeronautical Ad hoc Networks
229
Delay Aware Resource Allocation Scheme for a Cognitive LTE Based Radio Network
230
Delay aware survivable routing with network coding in Software Defined Networks
231
Delay axis of impulse-response images in biosonar
232
Delay balanced multipliers for low power/low voltage DSP core
233
Delay bandwidth product enhanced slow light in photonic crystal waveguides
234
Delay based channel allocations in multi-hop cognitive radio networks
235
Delay Based Congestion Detection and Admission Control for Voice quality in enterprise or carrier controlled IP Networks
236
Delay based feedback, transport protocols and small buffers
237
Delay Based Frequency Modulation
238
Delay Based Load Aware Routing Protocol for Ad Hoc Networks
239
Delay based noise cancelling sturdy MASH delta-sigma modulator
240
Delay based packet size control in Wireless Local Area Networks
241
Delay based routing for real-time traffic in ad hoc networks
242
Delay Behavior of Data Traffic in an Integrated Voice/Data Multiplex Structure: Multi-Capacity-Limits (MCL) Property
243
Delay behaviour of different real-time service levels in ATM networks
244
Delay behaviours of phase-shifted Bragg grating filters
245
Delay bound analysis for hybrid network: IEEE 802.1 lg ERP-OFDM WLAN over fiber
246
Delay bound analysis for hybrid networks: Interoperable IEEE 802.11b/g WLAN over fiber
247
Delay bound analysis in real-time networks with priority scheduling using network calculus
248
Delay Bound Analysis Using Martingale for Multimedia DTN under Heterogeneous Network for High-Speed Trains
249
Delay bound and reliable data forwarding for wireless sensor networks
250
Delay bound based CMOS gate sizing technique
251
Delay bound determination for timing closure satisfaction
252
Delay bound Guarantees with WFQ-based CBQ discipline
253
Delay bound multicast routing algorithm using evolutionary programming
254
Delay bound of youngest serve first (YSF) aggregated packet scheduling
255
Delay bounded buffered tree construction for timing driven floorplanning
256
Delay bounded rate and power control in energy harvesting wireless networks
257
Delay bounds based constraint distribution method
258
Delay bounds for a network of guaranteed rate servers with FIFO aggregation
259
Delay bounds for approximate maximum weight matching algorithms for input queued switches
260
Delay bounds for packet satellite protocols
261
Delay bounds for packetizing time-varying fluid policies with speedup and lookahead in single server systems
262
Delay bounds for random linear coding in multihop relay networks
263
Delay Bounds for Random Linear Coding in Parallel Relay Networks
264
Delay Bounds for Resource Allocation in Wideband Wireless Systems
265
Delay Bounds in Communication Networks With Heavy-Tailed and Self-Similar Traffic
266
Delay bounds of a dynamic priority queueing scheme: single node case
267
Delay Bounds of Chunk-Based Peer-to-Peer Video Streaming
268
Delay bounds on a queueing system with consistent priorities
269
Delay Bounds under Arbitrary Multiplexing: When Network Calculus Leaves You in the Lurch...
270
Delay budget partitioning to maximize network resource usage efficiency
271
Delay budgeting for a timing-closure-driven design method
272
Delay budgeting in sequential circuit with application on FPGA placement
273
Delay calculation and error compensation in TBCD-TDM communication protocol for Wireless Body Sensor Networks
274
Delay calculation capturing crosstalk effects due to coupling capacitors
275
Delay calculation of field-breakdown triggered vacuum switch
276
Delay calculus for differentiated services networks
277
Delay calibration algorithm for BOC channel of RNSS using partial correlation
278
Delay calibration circuit for delay lines
279
Delay calibration method for precise and accurate two way satellite time and frequency transfer
280
Delay Calibration Method for Wavelength-Swept Laser-Based FBG Demodulation System
281
Delay calibration of transmitter for inter-satellite link using sub-sampling and partial correlation
282
Delay calibration system for a two way satellite time & frequency transfer station
283
Delay chain based programmable jitter generator
284
Delay Character of a Novel Architecture for IEEE 802.16 Systems
285
Delay Characteristics and Controller Design of a Triggered Vacuum Switch
286
Delay Characteristics and Controller Design of a Triggered Vacuum Switch
287
Delay Characteristics and Server Update Optimization of Multiplayer Gaming in Mobile Environment
288
Delay characteristics and sound quality of digital waveguide model by spatial sampling
289
Delay characteristics and synchronization architecture of networked control system
290
Delay characteristics of a tagged cell stream in a discrete-time single-server queue, in the presence of background traffic
291
Delay characteristics of diverse ad hoc networks
292
Delay Characteristics of M.E. Wave Velocity in Amorphous Ribbons with Induced Anisotropy
293
Delay characteristics of second-order bandpass filters
294
Delay characteristics of statistical cipher feedback mode
295
Delay characteristics of surface charging on a cylindrical insulator in vacuum
296
Delay characteristics of surface charging on a cylindrical insulator in vacuum
297
Delay characteristics of triggered vacuum switch and air spark gap for pulsed power applications
298
Delay Characterization of Cable Access Networks
299
Delay Characterization of Multihop Transmission in a Poisson Field of Interference
300
Delay comparison of automatic repeat request assisted Butterfly networks
301
Delay Compensated FBG Demodulation System Based on Fourier Domain Mode-Locked Lasers
302
Delay Compensation for Networked Control Systems
303
Delay compensation for nonlinear teleoperators using predictor observers
304
Delay compensation for servo systems using state prediction control and disturbance observer, and its application to HDD head servo control system
305
Delay compensation in a dual-rate cascade visual servomechanism
306
Delay compensation in global estimation of rigid-body attitude under biased velocity measurement
307
Delay Compensation in Integrated Communication and Control Systems: Part I -- Conceptual Development and Analysis
308
Delay Compensation in Integrated Communication and Control Systems: Part II -- Implementation and Verification
309
Delay Compensation in Model Predictive Current Control of a Three-Phase Inverter
310
Delay compensation in packet-switching networked controlled systems
311
Delay compensation in Shared Haptic Virtual Environments
312
Delay compensation method for time synchronization in wireless sensor networks
313
Delay compensation of stretching signal in OTHR
314
Delay Compensation of Tilt Sensors Based on MEMS Accelerometer Using Data Fusion Technique
315
Delay compensation protocols for synchronization of multimedia data streams
316
Delay Compensation Scheme for Transparency over Haptic-Based Networked Virtual Environments
317
Delay Compensation Strategies for an Efficient Radio Resource Management in DVB-S2 Systems
318
Delay Compensation Through Facilitating Synapses and STDP: A Neural Basis for Orientation Flash-Lag Effect
319
Delay compensation using PID controller and GA
320
Delay Compensation, Design and Simulation of Controllers for Distributed Control Systems
321
Delay Compensator: an Approach to Reduce the Variable Sampling to Actuation Delay Effect in Distributed Real-time Control Systems
322
Delay components of a current mode logic circuit and their current dependency
323
Delay Components of Job Processing in a Grid: Statistical Analysis and Modeling
324
Delay Composition Algebra: A Reduction-Based Schedulability Algebra for Distributed Real-Time Systems
325
Delay computation for real-time synchronization of speech and its converted text
326
Delay computation in combinational logic circuits: theory and algorithms
327
Delay computation in switch-level models of non-treelike MOS circuits
328
Delay Considerations for Opportunistic Scheduling in Broadcast Fading Channels
329
Delay considerations in multicast routing for ATM networks
330
Delay constrained buffer-aided relaying with outdated CSI
331
Delay constrained energy efficient transmission strategies for wireless devices
332
Delay constrained energy minimization in UWB wireless networks
333
Delay Constrained Fuzzy Rate Control for Video Streaming over DVB-H
334
Delay constrained layered video coding for real-time streaming and lossless archive
335
Delay constrained linear transmission of a mixture of Gaussian measurements over a fading channel
336
Delay constrained linear transmission of random state measurements
337
Delay constrained maximum lifetime multicast based on particle swarm optimization in wireless ad hoc networks with directional antennas
338
Delay constrained minimum energy broadcast in cooperative wireless networks
339
Delay Constrained Minimum Power Broadcasting in Wireless Networks
340
Delay constrained multicast routing: What can we learn from an exact approach?
341
Delay Constrained Multiplexing of Video Streams Using Dual-Frame Video Coding
342
Delay constrained multiuser scheduling schemes based on upper-layer performance
343
Delay constrained optimal power allocation in high-speed railway scenarios
344
Delay constrained optimal relay placement for planned wireless sensor networks
345
Delay constrained optimal resource utilization of wireless networks for distributed control systems
346
Delay constrained optimization by simultaneous fanout tree construction, buffer insertion/sizing and gate sizing
347
Delay constrained placement of mobile data collectors in underwater acoustic sensor networks
348
Delay- constrained rate adaptation for robust video transmission over home networks
349
Delay constrained rate and power adaptation over correlated fading channels
350
Delay constrained resource allocation for wireless home networks
351
Delay Constrained Routing Algorithm for WSN with Mobile Sink
352
Delay Constrained Scheduling over Fading Channels: Optimal Policies for Monomial Energy-Cost Functions
353
Delay Constrained Self Congestion Control for FGS Video Streams
354
Delay Constrained Subtree Homeomorphism Problem with Applications
355
Delay Constrained Throughput Analysis of a Correlated MIMO Wireless Channel
356
Delay constrained throughput analysis of CDMA using stochastic network calculus
357
Delay constrained throughput analysis of SISO
358
Delay Constrained Throughput Maximization over Fading Channels
359
Delay constrained throughput optimised joint scheduling and link adaptation scheme based on imperfect channel state information
360
Delay constrained throughput-reliability tradeoff in network-coded wireless systems
361
Delay Constrained Traffic Grooming in WDM Ring Networks
362
Delay constraint dynamic bandwidth allocation in ethernet passive optical networks
363
Delay constraint encoding algorithm in MANETs
364
Delay Constraint Error Control Protocol for Real-Time Video Communication
365
Delay constraints and admission control in ATM networks
366
Delay constraints for multiple applications in wireless sensor networks
367
Delay control for CDF scheduling using Markov decision process
368
Delay control for CDF scheduling with deadlines
369
Delay control for non-real-time data in interactive multimedia satellite networks
370
Delay control in nonlinear oscillating systems
371
Delay control in wide band front-end components for multi-role/multi-domain phased-array systems
372
Delay control in wide band Front-End components for multi-role/multi-domain phased-array systems
373
Delay control via the bias field angle in YIG films
374
Delay controllable protocol with multiple priorities for home automation network
375
Delay controlled proportional fair scheduling in Rayleigh fading wireless channel
376
Delay controlled wireless video playout system
377
Delay Correction for Accurate Extraction of Time Exponent and Activation Energy of NBTI
378
Delay correlation based differential space-time modulation for high speed train transmission
379
Delay Correlation Subspace Decomposition Algorithm and Its Application in fMRI
380
Delay Critical Smart Grid Applications and Adaptive QoS Provisioning
381
Delay decomposition approach to delay-dependent stability for singular time-delay systems [Brief Paper]
382
Delay Decomposition at a Single Server queue with Constant Service Time and Multiple Inputs
383
Delay defect characteristics and testing strategies
384
Delay Defect Characterization Using Low Voltage Test
385
Delay defect coverage for FPGA test configurations based on statistical evaluation
386
Delay defect diagnosis based upon a statistical timing model-the first step
387
Delay defect diagnosis based upon statistical timing models - the first step [logic testing]
388
Delay defect diagnosis methodology using path delay measurements
389
Delay defect diagnosis using segment network faults
390
Delay defect screening for a 2.16 GHz SPARC64 microprocessor
391
Delay defect screening using process monitor structures
392
Delay densities and adaptive equalization of indoor radio channels
393
Delay Dependence of Phase Noise in SAW Filters
394
Delay dependent decentralized H output feedback control for interconnected large-scale systems with uncertainty
395
Delay dependent fault estimation for uncertain time delay nonlinear systems: an LMI approach
396
Delay dependent guaranteed cost control for linear systems with time-delay
397
Delay dependent guaranteed cost control for linear uncertain time delay systems
398
Delay dependent passive fuzzy control design for synchronous generator with multiplicative noise
399
Delay dependent power optimisation of combinational circuits using AND-Inverter graphs
400
Delay dependent robust filter design for singular systems with possible lossy measurements
401
Delay Dependent Robust H Stabilization of Uncertain Discrete Systems with Delays
402
Delay dependent robust stability of genetic regulatory networks with time varying delays
403
Delay dependent stability condition for the port Hamiltonian systems with time varying delay
404
Delay dependent stability conditions of static recurrent neural networks: a non-linear convex combination method
405
Delay Dependent Stability in the Real Time Control of a Mobile Robot Using Neural Networks
406
Delay dependent stability limits in high performance real-time visual servoing systems
407
Delay dependent stability of neutral systems with time delay: an LMI approach
408
Delay design-for-testability for functional RTL circuits
409
Delay detached eddy simulation of hydraulic characteristics after a new sudden lateral enlargement and vertical drop at the end of reversion curve of a spillway
410
Delay detection method using cross-spectrum for multitransmitter simulcasting
411
Delay Difference Calibration of TWSTFT Earth Station Using Multichannel Modem
412
Delay differential control theory applied to differential linear repetitive processes
413
Delay differential equations for passive-mode locking
414
Delay differentiation and adaptation in core stateless networks
415
Delay differentiation by optimal-balancing-of-queue-lengths scheduling
416
Delay differentiation by reserving space in queue
417
Delay dispersion of the on-body dynamic channel
418
Delay distortion and equalization in H01 waveguides for long-range communication
419
Delay distortion correction
420
Delay Distortion in Crystal Mixers
421
Delay distributed VCAT for efficient data-optical transport
422
Delay Distribution Analysis of IEEE 802.11 with Variable Packet Length
423
Delay Distribution Analysis of the RTS/CTS mechanism of IEEE 802.11
424
Delay distribution analysis of window random-access algorithms
425
Delay distribution analysis of Wireless Personal Area Networks
426
Delay distribution dependent output feedback stabilization criteria for discrete-time systems with interval time-varying delay
427
Delay distribution dependent stability criteria for discrete-time systems with interval time-varying delay
428
Delay distribution for a new version of a simple mac protocol for cognitive wireless networks
429
Delay distributions for prioritized traffic using various MAC layer protocols
430
Delay Distributions in Communications Systems with Partly Ordered Queues
431
Delay distributions in message-switching networks
432
Delay distributions of slotted ALOHA and CSMA
433
Delay diversity code for frequency selective channels
434
Delay diversity codes for frequency selective channels
435
Delay diversity for correlated MIMO channel
436
Delay diversity for direct sequence spread spectrum system to reduce transmitting power in Rayleigh flat-fading channel
437
Delay domain correlation properties of a wideband radio channel
438
Delay Doppler characteristics of multipath propagation at 910 MHz in a suburban mobile radio environment
439
Delay effect on cardiovascular regulation - a systems analysis approach
440
Delay effect on conversational quality in telecommunication networks: Do we mind?
441
Delay effects and dynamical compensation for time-delay systems
442
Delay effects and frequency dependence of NBTI with sub-microsecond measurements
443
Delay effects in averaged modeling of PWM converters
444
Delay effects on stability of interconnected systems. A control perspective
445
Delay effects on stability: a survey
446
Delay effects on static output feedback stabilization
447
Delay Efficient 32-bit Carry-Skip Adder
448
Delay efficient cooperation in public safety vehicular networks using LTE and IEEE 802.11p
449
Delay efficient emergency access control protocol in medical implant communication
450
Delay Efficient Link and Aggregation Scheduling under Physical Interference Model
451
Delay Efficient Real-Time Multicast Scheduling in Multi-Hop Wireless Sensor Networks
452
Delay efficient scheduling via redundant constraints in multihop networks
453
Delay efficient sleep scheduling in wireless sensor networks
454
Delay electro-optic dynamics for brain inspired information processing
455
Delay element concept for Continuous Time Digital Signal Processing
456
Delay equalisation of vestigialsideband waveform transmission systems
457
Delay Equalization by Tapered Cutoff Waveguides
458
Delay Equalization in Color Television
459
Delay equalizer using twin T and one operational amplifier
460
Delay estimate in a capacitively loaded URC line
461
Delay estimation and data detection in long-code DS-CDMA system
462
Delay estimation and fast iterative scheduling policies for LTE uplink
463
Delay estimation and multipath resistance potential accuracy of continuous phase modulation signals
464
Delay estimation and optimization of logic circuits: a survey
465
Delay Estimation and Sizing of CMOS Logic Using Logical Effort With Slope Correction
466
Delay estimation and the estimation of coherence and phase
467
Delay estimation based on kinematic track information without time stamps
468
Delay estimation by expected value
469
Delay estimation for CDMA communications with the RSRQ algorithm
470
Delay estimation for CMOS functional cells
471
Delay estimation for DVB-T signals in adverse multipath scenarios
472
Delay Estimation for On-Chip VLSI Interconnect using Weibull Distribution Function
473
Delay estimation for space-time coded signals over Rayleigh fading channels
474
Delay estimation for technology independent synthesis
475
Delay Estimation for Two Objects by Using Blind Beamforming on a Randomly Distributed Sensor Array
476
Delay estimation for wireless LAN control of nonlinear systems
477
Delay Estimation from Progress Speed under Specific Situation of Project Members
478
Delay estimation in CDMA system by differentially coherent eigenanalysis
479
Delay estimation in chaotic time series
480
Delay Estimation in DS-CDMA Communication Using Conjugate Descent Algorithm
481
Delay Estimation in Linear Systems Using Output Feedback
482
Delay estimation in the presence of a tone jammer: two simple estimators
483
Delay Estimation in the Presence of Timing Noise
484
Delay estimation method for correlated net delay variations
485
Delay estimation of code-spread CDMA systems
486
Delay estimation of disturbances on the basilar membrane
487
Delay estimation of SCL gates with output buffer
488
Delay estimation of VLSI circuits from a high-level view
489
Delay Estimation on Single-Electron Tunneling-Based Logic Gates
490
Delay estimation potential accuracy of full and partial response spectral-efficient signals
491
Delay estimation simulations and a normalized comparison of published results
492
Delay Estimation Using Adjustable Fractional Delay All-Pass Filters
493
Delay estimation using narrow-band processes
494
Delay estimation using sinusoidal signals
495
Delay estimation using SVD-based causal fourier continuations for high speed interconnects
496
Delay Estimation Using Variable Regression
497
Delay evaluation of 90nm CMOS multi-context FPGA with shift-register-type temporal communication module for large-scale circuit emulation
498
Delay exponent of variable-length random binning for point-to-point transmission
499
Delay Extracted Stable Rational Approximations for Tabulated Networks With Periodic Reflections
500
Delay extraction and passive macromodeling of lossy coupled transmission lines
501
Delay extraction based closed-form SPICE compatible passive macromodels for distributed transmission line interconnects
502
Delay extraction from frequency domain data for causal macro-modeling of passive networks
503
Delay factors for mainframe computers
504
Delay fairness aware ARQ protocol for two-user cooperative networks
505
Delay fairness in demand priority networks
506
Delay fault analysis using discrete event system approach
507
Delay fault ATPG for F-scannable RTL circuits
508
Delay Fault Coverage and Performance Tradeoffs
509
Delay Fault Coverage Enhancement by Partial Clocking for Low-Power Designs With Heavily Gated Clocks
510
Delay fault coverage enhancement using multiple test observation times
511
Delay Fault Coverage Increasing in Digital Circuits
512
Delay fault coverage, test set size, and performance trade-offs
513
Delay fault coverage: a realistic metric and an estimation technique for distributed path delay faults
514
Delay Fault Detection Problems in Circuits Feautring a Low Combination Depth
515
Delay fault diagnosis for nonrobust test
516
Delay Fault Diagnosis in Sequential Circuits
517
Delay fault diagnosis using timing information
518
Delay fault localization in test-per-scan BIST using built-in delay sensor
519
Delay fault modelling/simulation using VHDL-AMS in multi-Vdd systems
520
Delay fault models and coverage
521
Delay fault models and test generation for random logic sequential circuits
522
Delay fault propagation in synchronous sequential circuits
523
Delay fault propagation in synchronous sequential circuits
524
Delay fault simulation of self-checking error checkers
525
Delay fault simulation with bounded gate delay mode
526
Delay fault test generation for scan/hold circuits using Boolean expressions
527
Delay Fault Test Generation with Cellular Aulhomata
528
Delay fault testability evaluation through timing simulation
529
Delay fault testability modeling with temporal logic
530
Delay Fault Testability on Two-Rail Logic Circuits
531
Delay fault testing and silicon debug using scan chains
532
Delay fault testing of core-based systems-on-a-chip
533
Delay fault testing of designs with embedded IP cores
534
Delay fault testing of IP-based designs via symbolic path modeling
535
Delay fault testing of IP-based designs via symbolic path modeling
536
Delay fault testing of iterative arithmetic arrays
537
Delay fault testing: choosing between random SIC and random MIC test sequences
538
Delay faults in dual-rail, self-reset wave-pipelined circuits
539
Delay feedback induces drift of multipeaks cavity solitons in VCSEL devices
540
Delay Front and Skip Interval - Assessing Open Source Software Maintenance of System Vendors and Computer Centers
541
Delay Generated Offset: A Direction Sensitive Pulse-Coherent Doppler Detection Technique
542
Delay guarantee and bandwidth allocation for network services
543
Delay Guarantee and Service Interval Optimization for HCCA in IEEE 802.11e WLANs
544
Delay guarantee of virtual clock server
545
Delay guarantee versus throughput in broadcast fading channels
546
Delay guaranteed bandwidth allocation for real-time video delivery
547
Delay guaranteed live migration of Virtual Machines
548
Delay Guaranteed Media Access Control for Wireless Home Automation Networks
549
Delay Guaranteed Window Bandwidth Allocation Policy for Industrial Ethernet Switch
550
Delay Guarantees for Throughput-Optimal Wireless Link Scheduling
551
Delay Guarantees for Throughput-Optimal Wireless Link Scheduling
552
Delay hazards in complex gate based speed independent VLSI circuits
553
Delay hidden techniques based on configuration contexts reuse and differential reconfiguration in coarse-grained reconfigurable processor
554
Delay hopped transmitted reference experimental results
555
Delay hopping and chip codes design for a frame differential UWB autocorrelation receiver
556
Delay identification and control of irrigation channels using Pattern Search algorithms
557
Delay identification for nonlinear time-delay systems with unknown inputs
558
Delay Identification in Nonlinear Differential Difference Systems
559
Delay improved Media Access Control for Passive Optical Networks
560
Delay improvement of IEEE 802.11 distributed coordination function using size-based scheduling
561
Delay in a Discrete-Time Queueing Model with Batch Arrivals and Batch Services
562
Delay in cooperative communications: Higher multiplexing gain in Gaussian interference channels with full-duplex transmitters
563
Delay in Mobility-Assisted Constant-Throughput Wireless Networks
564
Delay in multivariable computer controlled linear systems
565
Delay in regulators of time discrete single-ended synchronization
566
Delay in state feedback control over a network
567
Delay included dynamic virus spreading process simulating
568
Delay independent asymptotic stability of n-dimensional systems of Roesser´s type with state delays by means of memoryless state feedback controller
569
Delay independent initialization of sequential circuits
570
Delay independent L2 stable multilateral teleoperation with damping injection
571
Delay independent stability conditions and decay estimates for time-varying functional differential equations
572
Delay independent static output feedback variable structure control for affine nonlinear systems
573
Delay independent synchronization of complex network via hybrid control
574
Delay induced instabilities in a quantum dot semiconductor laser
575
Delay induced instabilities of cavity solitons in passive and active laser systems
576
Delay induced oscillations in predator-prey system
577
Delay insensitive code-based timing and soft error-resilient and adaptive-performance logic
578
Delay insensitive encoding and power analysis: a balancing act [cryptographic hardware protection]
579
Delay insensitive logic for RSFQ superconductor technology
580
Delay Insensitive logic with increased fault tolerance and optimized for subthreshold operation
581
Delay insensitive NCL reconfigurable logic
582
Delay insensitive RSFQ circuits with zero static power dissipation
583
Delay insensitive system-on-chip interconnect using 1-of-4 data encoding
584
Delay Insensitivity Does Not Mean Slope Insensitivity!
585
Delay insensitivity verification of bit-level pipelined systolic arrays in dual-rail treshold logic
586
Delay insertion based P2PTV traffic localization considering available bandwidth of logical link
587
Delay insertion method in clock skew scheduling
588
Delay interpolation
589
Delay interval based controller design for Networked control system
590
Delay invariant convolutional network codes
591
Delay issues in linear sensory networks
592
Delay jitter analysis of 802.11 DCF
593
Delay jitter bounds and packet scale rate guarantee for expedited forwarding
594
Delay jitter bounds and packet scale rate guarantee for expedited forwarding
595
Delay jitter control for real-time communication in a packet switching network
596
Delay jitter correlation analysis for traffic transmission on high speed networks
597
Delay jitter first-order and second-order statistical functions of general traffic on high-speed multimedia networks
598
Delay jitter first-order statistical function in high-speed multi-media networks
599
Delay jitter guarantee for real-time communications with ATM network
600
Delay jitter performance of voice traffic in a cellular wireless ATM network
601
Delay length locked loop in target range tracking
602
Delay limit of slow light in semiconductor optical amplifiers
603
Delay limited capacity of ad hoc networks: asymptotically optimal transmission and relaying strategy
604
Delay limited optimal and suboptimal power and bit loading algorithms for OFDM systems over correlated fading channels
605
Delay limited packet switched data vortex network
606
Delay limited transmission of a uniform source over an AWGN channel
607
Delay line adjustment for the optimization of digital continuous time filters
608
Delay line controlled subcarrier discriminator
609
Delay Line Encoding For Low Power
610
Delay Line MWPC Hazard Signals Inspector
611
Delay Line Proportional Chambers for the Fermilab External Muon Identifier
612
Delay line readout of microchannel plates
613
Delay Line Readouts for High Purity Germanium Medical Imaging Cameras
614
Delay Line Secondaries in Phase-Modulated Sweep Integrators
615
Delay Lines Based on Coupled Slow-Wave Structures
616
Delay lines based on coupled slow-wave structures
617
Delay lines based on left-handed transmission line structure
618
Delay Lines Using Interfacial Waves in Solid-Liquid Solid Structures
619
Delay lines using self-adapting time constants
620
Delay lines with tailored high dispersion orders for periodic optical pulses
621
Delay lines with tailored high-dispersion orders for periodic optical pulses
622
Delay locked loop with linear delay element
623
Delay Lotka-Volterra competition system with random perturbation
624
Delay macro modeling of CMOS gates using modified logical effort technique
625
Delay macromodeling and estimation for RTL
626
Delay macromodels for point-to-point MCM interconnections
627
Delay macromodels for point-to-point MCM interconnections
628
Delay macromodels for the timing analysis of GaAs DCFL
629
Delay makes a difference: Smart grid resilience under remote meter disconnect attack
630
Delay management for programmable video signal processors
631
Delay Management Of Multi-domain Networks Using Models Of The Window Mechanism
632
Delay maps as an efficiency tool for a machinery vibration analysis
633
Delay Matching Compensated CMOS Microwave Frequency Doubler
634
Delay measurement and analysis of network performance on PlanetLab
635
Delay Measurement Methodology Revisited: Time-Slotted Randomness Cancellation
636
Delay measurement of dual-rail asynchronous circuits for small-delay defect detection
637
Delay measurement of remote presentation in digital language laboratory system
638
Delay measurement system for real-time serial data streams
639
Delay metric for networked C2 information system architecture
640
Delay metric for on-chip RLCG interconnect for arbitrary input
641
Delay metrics and delay characteristics: A study of four Swedish HSDPA+ and LTE networks
642
Delay minimal decomposition of multiplexers in technology mapping
643
Delay minimisation in CMOS combinational arithmetic circuits for low power
644
Delay minimisation in multipath routing using intelligent traffic distribution policies
645
Delay minimization and technology mapping of two-level structures and implementation using clock-delayed domino logic
646
Delay minimization by optimizing antenna allocation in SIMO system
647
Delay Minimization for Network Coded Cooperative Data Exchange with Rate Adaptation
648
Delay Minimization for Progressive Construction of Satellite Constellation Network
649
Delay minimization for random scheduling in centralized wireless networks
650
Delay Minimization for Relay-Based Cooperative Data Exchange with Network Coding
651
Delay Minimization for Relay-Based Cooperative Data Exchange With Network Coding
652
Delay minimization for reliable data collection on overhead transmission lines in smart grid
653
Delay Minimization For Zero-skew Routing
654
Delay minimization in multihop wireless networks: Static scheduling does it
655
Delay minimization in multiple access channels
656
Delay minimization of the adaptive go-back-N ARQ protocols for point-to-multipoint communication
657
Delay minimization through joint routing and resource allocation in cognitive radio-based mesh networks
658
Delay minimization with a general pentagon rate region
659
Delay minimization with channel-adaptive packetization policy for random data traffic
660
Delay minimizing cooperative remote video consultation on demand for e-patients
661
Delay minimizing user association in cellular networks via hierarchically well-separated trees
662
Delay Minimum Data Collection in the low-duty-cycle wireless sensor networks
663
Delay Model for Flooding of Service Prevention in E-Commerce System
664
Delay Model for Saturated IEEE 802.16 Networks
665
Delay model for signal controlled intersections
666
Delay model for static CMOS complex gates
667
Delay model for VLSI RLCG global interconnects line
668
Delay Model of Single-Relay Cooperative ARQ Protocols in Slotted Radio Networks with Non-Instantaneous Feedback and Poisson Frame Arrivals
669
Delay modeling and analysis in DTN multicasting
670
Delay Modeling and Analysis of IEEE 802.11 DCF with Selfish Nodes
671
Delay modeling and design considerations of bipolar multi-input CML gates
672
Delay modeling and estimation of a wireless based network control system
673
Delay modeling and static timing analysis for MTCMOS circuits
674
Delay Modeling and Statistical Design of Pipelined Circuit Under Process Variation
675
Delay modeling and timing of bipolar digital circuits
676
Delay modeling for broadcast-based two-hop relay MANETs
677
Delay modeling for buffered RLY/RLC trees
678
Delay modeling for GaAs DCFL circuits
679
Delay Modeling for Heterogeneous Backhaul Technologies
680
Delay modeling for power noise-aware design in Spartan-3A FPGAs
681
Delay Modeling for Wireless Network Coding Multicast
682
Delay modeling in mobile cognitive radio networks
683
Delay modeling of CMOS/CPL logic circuits
684
Delay modeling using ramp and realistic signal waveforms
685
Delay modelling and optimization of BiCMOS buffer circuits
686
Delay modelling for a single-hop wireless mesh network under light aggregate traffic
687
Delay modelling improvement for low voltage applications
688
Delay modelling of on-chip RC global VLSI interconnect for step input
689
Delay models and speed improvement techniques for RC tree interconnections among small-geometry CMOS inverters
690
Delay models for CMOS, BiCMOS and BiNMOS circuits and their applications for timing simulations
691
Delay models for different UNI signalling implementations in the context of IST Project MUPBED
692
Delay models for MCM interconnects when response is nonmonotone
693
Delay models for the sea-of-wires array synthesis system
694
Delay models for timing simulation of CMOS/BiCMOS/BiNMOS mixed digital circuits
695
Delay models for verifying speed-dependent asynchronous circuits
696
Delay models in ethernet long-reach passive optical networks
697
Delay Models of Single-Source Single-Relay Cooperative ARQ Protocols in Slotted Radio Networks With Poisson Frame Arrivals
698
Delay modes in thick single-crystal yttrium-iron-garnet ISCS
699
Delay modulation
700
Delay modulation
701
Delay modulation for TWDM PONs
702
Delay monitoring for wireless sensor networks: An architecture using air sniffers
703
Delay networks
704
Delay networks having maximally flat frequency characteristics
705
Delay networks having maximally flat frequency characteristics
706
Delay noise pessimism reduction by logic correlations
707
Delay nonlinear system predictive control on MPSO+DNN
708
Delay of backbone wireless mesh networks
709
Delay of Broadband Signals Using Slow Light in Stimulated Brillouin Scattering With Phase-Modulated Pump
710
Delay of field collapse in photoconductive gaps fabricated on GaAs/AlGaAs MODFET material
711
Delay of Kirk effect due to collector current spreading in heterojunction bipolar transistors
712
Delay of linear perfect secret key agreement
713
Delay of magnetostatic surface waves in y.i.g.
714
Delay of microwave signals in a Cassegrainian antenna
715
Delay of RZ PRBS Data Based on Wide-Band SBS by Phase-Modulating the Brillouin Pump
716
Delay of SAT-algorithms by Schuler and his derandomization by Dantsin and Wolpert
717
Delay of side pull-in for an electrostatic comb drive model with rotational degree of freedom
718
Delay of the Software Commands in the Path PC-Measurement Instruments
719
Delay of the wave in a magnetoactive periodic nanostructure dielectric-semiconductor
720
Delay of time functions by means of frequency domain sampling
721
Delay Optimal Buffered Decode-and-Forward for Two-Hop Networks With Random Link Connectivity
722
Delay optimal concurrent transmissions in multi-radio access networks
723
Delay optimal control algorithm for a multiaccess fading channel with peak power constraint
724
Delay optimal control and its connection to the dynamic backpressure algorithm
725
Delay optimal event detection on ad hoc wireless sensor networks
726
Delay Optimal Low-Power Circuit Clustering for FPGAs with Dual Supply Voltages
727
Delay optimal low-power circuit clustering for FPGAs with dual supply voltages
728
Delay optimal multiaccess communication for general packet length distributions
729
Delay optimal multichannel opportunistic access
730
Delay optimal partitioning targeting low power VLSI circuits
731
Delay optimal policies offer very little privacy
732
Delay optimal power control and relay selection for two-hop cooperative OFDM systems via distributive stochastic learning
733
Delay Optimal Scheduling for Cognitive Radio Networks with Cooperative Beamforming
734
Delay Optimal Scheduling for Cognitive Radios with Cooperative Beamforming: A Structured Matrix-Geometric Method
735
Delay optimal scheduling for energy harvesting based communications
736
Delay Optimal Scheduling for Energy Harvesting Based Communications
737
Delay optimal scheduling of a discrete-time batch service queue for point-to-point channel code rate selection
738
Delay optimal secrecy in two-relay network
739
Delay optimal server assignment to symmetric parallel queues with random connectivities
740
Delay Optimal Transmission Policy in a Wireless Multiaccess Channel
741
Delay Optimization and Cross-Layer Design in Multihop Wireless Networks With Network Coding and Successive Interference Cancelation
742
Delay optimization and energy estimation in CMOS differential cascade voltage switch logic circuits
743
Delay optimization considering power saving in dynamic CMOS circuits
744
Delay optimization for Multi-source Multi-channel Overlay live Streaming
745
Delay Optimization in Bandwidth-Sharing Networks
746
Delay Optimization in Cooperative Relaying with Cyclic Delay Diversity
747
Delay optimization in multi-hop wireless networks with network coding
748
Delay optimization in unbuffered DS-CDMA Random Access Systems using Adaptive Retransmission Control
749
Delay optimization of carry-skip adders and block carry-lookahead adders
750
Delay optimization of carry-skip adders and block carry-lookahead adders using multidimensional dynamic programming
751
Delay optimization of CMOS logic circuits using closed-form expressions
752
Delay optimization of combinational logic circuits by clustering and partial collapsing
753
Delay Optimization of Combinational Static CMOS Logic
754
Delay optimization of digital CMOS VLSI circuits by transistor reordering
755
Delay optimization of wireless networks with network coding
756
Delay Optimization on a p-Persistent MAC Protocol for a Multi-Packet Detection in SC-FDE System
757
Delay optimization using SOP balancing
758
Delay optimized array multiplier for signal and image processing
759
Delay Optimized Redundant Binary Adders
760
Delay Optimized Small-World Networks
761
Delay optimized time slot assignment for data gathering applications in wireless sensor networks
762
Delay optimized worst case fair WFQ (WF2Q) packet scheduling
763
Delay optimized zero-forcing channel shortening algorithm for wireless communication
764
Delay oriented design methodology: application to the design of a VHF low power VLSI polyphase oscillator
765
Delay oriented OLSR
766
Delay Outage Probability in Block Fading Channel and Relay-Assisted Hybrid-ARQ Network
767
Delay partitioning approach to robust stability analysis for uncertain stochastic systems with interval time-varying delay
768
Delay Performance Analyses for GBN-ARQ and SR-ARQ Protocols
769
Delay Performance Analysis for Supporting Real-Time Traffic in a Cognitive Radio Sensor Network
770
Delay performance analysis for the buffered crossbar switch
771
Delay performance analysis of 802.11
772
Delay performance analysis of cooperative cell caching in future mobile networks
773
Delay Performance Analysis of LTE in Various Traffic Patterns and Radio Propagation Environments
774
Delay performance analysis of the new Internet services with guaranteed QoS
775
Delay performance analysis of token-based fast TCP in window limit systems
776
Delay Performance Analysis of Two Channel Reservation Algorithms, CFP+SPL and UNI+LA in High-Speed Wireless Communication Systems
777
Delay performance analysis of uniform (UNI) and uniform with limited access techniques (UNI+LA) in wireless communication systems
778
Delay performance analysis of voice traffic in a cellular wireless ATM network
779
Delay Performance Analysis on Pure Relay and Cooperative Transmission
780
Delay Performance and Implementation of Quaternary Logic Circuits
781
Delay performance evaluation for supporting heterogeneous traffic in cognitive radio sensor networks
782
Delay Performance Evaluation of a Token Ring LAN Under Real-Time Load Conditions
783
Delay performance evaluation of high speed protocols for multimedia communications
784
Delay Performance Evaluation of Shared-Buffer Based All-Optical Multihop Networks
785
Delay performance for ATM-based fiber to the curb systems
786
Delay Performance in a Semantic Interoperability Architecture
787
Delay performance measurements in multimedia switching
788
Delay performance modeling and analysis in clustered cognitive radio networks
789
Delay Performance of a Broadcast Spectrum Sharing Network in Nakagami- m Fading
790
Delay Performance of a Buffered Communication Network
791
Delay performance of a continuous ARQ system with copy-transmissions
792
Delay performance of a leaky bucket regulated multiplexer based on burstiness characterization
793
Delay performance of a Publish Subscribe system deployed over a memory-constrained, Delay Tolerant Network
794
Delay performance of an input queueing packet switch with two priority classes
795
Delay performance of continuous bit rate (CBR) traffic through an ATM multiplexer
796
Delay performance of CSMA in networks with bounded degree conflict graphs
797
Delay performance of CSMA policies in multihop wireless networks: A new perspective
798
Delay performance of different MAC schemes for multihop wireless networks
799
Delay Performance of Direct Reads in Distributed Storage Systems with Coding
800
Delay Performance of Enhanced Access Channel in 1xEV-DO Revision A Systems
801
Delay performance of Go-back-N ARQ scheme with Markovian error channel
802
Delay performance of high-speed packet switches with low speedup
803
Delay performance of intermittently connected wireless sensor networks with cooperative relays
804
Delay Performance of Load-Balanced Packet Switching under Different Traffic Patterns
805
Delay performance of multi-hop WRANs under the self-coexistence situation
806
Delay performance of opportunistic network coding for a bidirectional relaying link
807
Delay performance of radio physical layer technologies as candidates for wireless extensions to industrial networks
808
Delay Performance of Resilient Cloud Services over Networks
809
Delay Performance of Scheduling with Data Aggregation in Wireless Sensor Networks
810
Delay performance of segmentation options for mixed packet networks
811
Delay Performance of Session Establishment Signaling in IP Multimedia Subsystem
812
Delay performance of some scheduling strategies in an input queuing ATM switch with multiclass bursty traffic
813
Delay performance of the new explicit loss notification TCP technique for wireless networks
814
Delay performance of the new Internet service with guaranteed QoS compared to ATM
815
Delay Performance of Threshold Policies for Dynamic Spectrum Access
816
Delay performance of voice and MMPP video traffic in cellular wireless ATM network
817
Delay performance optimization for multiuser diversity systems with bursty-traffic and heterogeneous wireless links
818
Delay Performance Optimization of Multiaccess for Uplink in Heterogeneous Networks
819
Delay Performance under the Joint Scheduling over Gilbert-Elliot Channel
820
Delay performances of standard and modified CEBUS schemes
821
Delay Prediction for Intelligent Routing in Wireless Networks Using Neural Networks
822
Delay prediction for technology-independent logic equations
823
Delay prediction from resistance-capacitance models of general MOS circuits
824
Delay prediction in Mobile Ad Hoc Network using trapezoidal fuzzy numbers
825
Delay predictive modeling of the continuous exit-after-entrance ramp junctions of the urban freeway under the speed surveillance
826
Delay predictive models of the National Airspace System using hybrid control theory
827
Delay predictors for customer service systems with time-varying parameters
828
Delay processing vs. per survivor techniques for equalization with fading channels
829
Delay profile in quasi-millimeter band for fixed wireless access in urban area
830
Delay profile measurement system for microwave mobile communications and delay characteristics in an urban environment
831
Delay profile measurement system using common pilot channel for W-CDMA cellular system
832
Delay Profile Model for Low Antenna Height Base Stations in Broadband Mobile Communication
833
Delay profile modeling for wideband mobile propagation
834
Delay Profile Using Scale Model Method for Microcells in Urban Areas
835
Delay profiles for indoor diffused visible light communication
836
Delay propagation effect in transistor gates
837
Delay propagation properties with self-similarity
838
Delay properties in non-orthogonal spectrum sharing with adaptively rate controlled selfish dynamic spectrum control
839
Delay properties of opportunistic back-pressure routing in CSMA-based wireless mesh networks
840
Delay quality-of-service driven resource allocation for relay-based multiuser OFDMA networks
841
Delay quality-of-service driven resource allocation for relay-based OFDMA cognitive radio networks
842
Delay Quantization Error in Phased Array Images
843
Delay radio-trigger mechanism in 4D wireless sensor networks
844
Delay Range Dependent Robust Passification for Singular Neutral Uncertain Systems with Time Varying Delays and Actuator Saturation
845
Delay reconstruction for multiprobe signals
846
Delay reduction by segment substitution
847
Delay reduction for 802.11e hybrid co-ordinator
848
Delay Reduction for Instantly Decodable Network Coding in Persistent Channels With Feedback Imperfections
849
Delay reduction for real time services in IEEE 802.22 Wireless Regional Area Network
850
Delay reduction in lossy intermittent feedback for generalized instantly decodable network coding
851
Delay reduction in multi-hop device-to-device communication using network coding
852
Delay Reduction in Persistent Erasure Channels for Generalized Instantly Decodable Network Coding
853
Delay reduction in redundant trees for preplanned protection against single link/node failure in 2-connected graphs
854
Delay Reduction Scheme Based on Fountain Coding for Wireless Relay Communication Systems
855
Delay reduction techniques for playout buffering
856
Delay reduction techniques in phase-locked loop amplifiers
857
Delay Reduction Using Simulated Annealing
858
Delay reduction via Lagrange multipliers in stochastic network optimization
859
Delay reduction via Lagrange Multipliers in stochastic network optimization
860
Delay Related Issues in Integrated Voice and Data Networks
861
Delay Remaining Energy for AOMDV Protocol (DRE-AOMDV)
862
Delay replacement in direct form structures
863
Delay Restraining of Combined Multiple Input Cross Core Router
864
Delay Robustness in Non-Identical Multi-Agent Systems
865
Delay robustness of a class of nonlinear systems and applications to communication networks
866
Delay robustness of a class of nonlinear systems and applications to communication networks
867
Delay robustness of CDMA power control in the presence of disturbances using iISS small-gain technique
868
Delay Robustness of Interconnected Passive Systems: An Integral Quadratic Constraint Approach
869
Delay Robustness of Nonlinear Internet Congestion Control Schemes
870
Delay satisfaction end-to-end priority assignment and routing in multi-class priority networks
871
Delay scaling in poisson networks
872
Delay Selection for CDD in Correlated MISO Channels with Block Iterative-GDFE Receiver
873
Delay selection for TEQ in OFDM systems
874
Delay sensing for parametric variations and defects monitoring in safety-critical applications
875
Delay Sensitive Adaptive Pouting Protocol for ad hoc network
876
Delay Sensitive Aware Subframe Allocation Schema in WiMAX Base Stations
877
Delay Sensitive Communications over Cognitive Radio Networks
878
Delay Sensitive EPON Dynamic Bandwidth Allocation Mechanism
879
Delay sensitive identity protection in peer-to-peer online gaming environments
880
Delay sensitive low-cost security mechanism for mobile IP
881
Delay sensitive routing for high speed packet-switching networks
882
Delay sensitive routing in PNNI-based ATM networks
883
Delay sensitive scheduling schemes for heterogeneous QoS over wireless networks
884
Delay Sensitive Smoothed Round Robin (DS2R2) Scheduler for High-Speed Optical Networks
885
Delay Sensitive Smoothed Round Robin (DS2R2) Scheduler for Light-trail and SLiT Networks
886
Delay sensitive TDMA slot assignment in ad hoc wireless networks
887
Delay sensitivity analysis of CDMA downlink handoff algorithms
888
Delay sensitivity of quadratic controllers-a singular perturbation approach
889
Delay Spectra of Single Crystal Ferrimagnetics When Loaded by Polycrystalline Ferrites
890
Delay spread and coherence bandwidth in reverberation chamber
891
Delay Spread and its Effect on Bandwidth in Gaussian Parallel Relay Networks
892
Delay spread and received power measurements within a building at 2 GHz, 5 GHz and 17 GHz
893
Delay spread and time delay jitter for the UHF factory multipath channel
894
Delay spread and time dispersion estimation for adaptive OFDM systems
895
Delay spread calculation from coherence bandwidth measurements on a OFDM based mobile communication system
896
Delay Spread Characteristics of Wideband MIMO Channels Based on Outdoor Non-Line-of-Sight Measurements
897
Delay spread control hiding first hit objects
898
Delay spread effects on BER performance of single code and multi-code WCDMA signalling schemes for high rate data transmission
899
Delay spread estimation for wireless communication systems
900
Delay spread in building radio channels: simulation and measurement
901
Delay spread in microcells analysed with waveguide theory
902
Delay spread in strongly coupled multi-core fibers for SDM transmission
903
Delay spread measurements and characterization in a special propagation environment for PCS microcells
904
Delay spread measurements at 1890 MHz in pedestrian areas of the central business district in the city of Melbourne
905
Delay spread measurements for the digital cellular channel in Toronto
906
Delay spread measurements for the digital cellular channel in Toronto
907
Delay spread measurements on a wideband MIMO channel at 3.7 GHz
908
Delay spread measurements within a building at 2 GHz, 5 GHz and 17 GHz
909
Delay Spread of Electromagnetic Waves Propagating along Random Rough Surface
910
Delay Spread Prediction for Wideband Mobile Propagation
911
Delay spread profiles and receiver performance in a dense multipath environment
912
Delay spread profiles and receiver performance in a dense multipath environment
913
Delay spread properties in a measured massive MIMO system at 2.6 GHz
914
Delay spread reduction effect of beam antenna and adaptively controlled beam facing access system in urban line-of-sight street microcells
915
Delay Spread Reduction in Mode-Division Multiplexing: Mode Coupling Versus Delay Compensation
916
Delay Spread Statistics in a Two-Path Distributed Antenna System with Gamma-Lognormal Fading Channels
917
Delay spread statistics in a two-path radio environment
918
Delay spread statistics in simulcast transmission system
919
Delay spread statistics in vicinity of same-frequency repeater
920
Delay spreads and channel dynamics measurements at ISM bands
921
Delay stability measurements made within a two-way time transfer system using satellite ranging from several locations
922
Delay stability of back-pressure policies in the presence of heavy-tailed traffic
923
Delay Statistics and Throughput Performance for Multi-rate Wireless Networks Under Multiuser Diversity
924
Delay statistics for a controlled contention communication channel
925
Delay statistics for selective repeat ARQ protocol in multi-rate wireless networks with non-instantaneous feedback
926
Delay statistics in multi-rate wireless networks with ARQ and weighted round-robin scheduling
927
Delay structures with planar Gunn devices
928
Delay super resolution for GNSS-R
929
Delay synchronization controller for Chua´s chaotic circuits
930
Delay Synchronization Scheme for Distributed Space-Time Block Coded Transmission in 2-Hop Cooperative Relaying System
931
Delay Synchronizing Rectification Control Strategy of Bi-Directional Converter in a Novel Stand-Alone PV System
932
Delay system identification applied to the longitudinal flight of an aircraft through a vertical gust
933
Delay system identification using global optimization methods
934
Delay test effectiveness evaluation of LSSD-Based VLSI logic circuits
935
Delay Test for Diagnosis of Power Switches
936
Delay test generation for synchronous sequential circuits
937
Delay test generation with all reachable output propagation and multiple excitations
938
Delay test generation. I. Concepts and coverage metrics
939
Delay test generation. II. Algebra and algorithms
940
Delay test of chip I/Os using LSSD boundary scan
941
Delay Test of Embedded Memories
942
Delay test pattern generation considering crosstalk-induced effects
943
Delay Test Quality Evaluation Using Bounded Gate Delays
944
Delay test quality maximization through process-aware selection of test set size
945
Delay test resource allocation and scheduling for multiple frequency domains
946
Delay Test Scan Flip-Flop: DFT for High Coverage Delay Testing
947
Delay Test Techniques For Boundary Scan Based Archictures
948
Delay Test: The Next Frontier for LSSD Test Systems
949
Delay testability properties of circuits implementing threshold and symmetric functions
950
Delay Testable Enhanced Scan Flip-Flop: DFT for High Fault Coverage
951
Delay testable sequential circuit designs
952
Delay testing and characterization of post-bond interposer wires in 2.5-D ICs
953
Delay testing and failure analysis of ECL logic with embedded memories
954
Delay testing based on transition faults propagated to all reachable outputs
955
Delay testing considering crosstalk-induced effects
956
Delay testing considering power supply noise effects
957
Delay testing for non-robust untestable circuits
958
DELAY TESTING OF DIGITAL CIRCUITS BY OUTPUT WAVEFORM ANALYSIS
959
Delay testing of MOS transistor with gate oxide short
960
Delay testing of partially depleted silicon-on-insulator (PD-SOI) circuits
961
Delay testing of SOI circuits: Challenges with the history effect
962
Delay Testing Quality in Timing-Optimized Designs
963
Delay testing using a matrix of accessible storage elements
964
Delay testing with clock control: an alternative to enhanced scan
965
Delay testing with double observations [high-speed ICs]
966
Delay throughput tradeoffs in wireless mesh networks
967
Delay time analysis for 0.4- to 5- mu m-gate InAlAs-InGaAs HEMTs
968
Delay time analysis of FDDI protocol
969
Delay time analysis of submicron InP-based HEMT´s
970
Delay Time and Power Supply Current Characteristics of CMOS Inverter Broken by Intentional High Power Microwave
971
Delay time and signal propagation in large-scale integrated circuits
972
Delay time between the current pulse and the light emission of GaAs laser diodes
973
Delay Time Between vasodilator And Sudomotor Transient Reflexes In Assessment Of Skin Sympathetic Activity
974
Delay time bounds for RC ladder networks
975
Delay time compensation for parallel connected IGBTs: Implementation and extension for n IGBTs
976
Delay time constant analysis for fτ optimization in RF Si/SiGe bipolar devices
977
Delay time detection and accommodation for a networked DC motor control
978
Delay time detection for ranging applications using a tap delay line equalizer
979
Delay time distribution of high power microwave surface flashover
980
Delay time estimate for “FAST” CMOS drivers with noisy ground reference
981
Delay time estimation using Hilbert transform and new extrapolation procedure
982
Delay Time Identification and Dynamic Characteristics Study on ANN Soft Sensor
983
Delay time in coplanar strip transmission lines on Si/SiO/sub 2//Si multilayer substrates
984
Delay time in GaAs high-power high-speed photoconductive switching devices
985
Delay time in GaAs high-power photoconductive switches
986
Delay time increase for path demand by inverse-multiplexing with parallel routes in WDM optical path networks
987
Delay time measurement and comparison of protection strategies with one-link broken terrestrial SDH optical fiber networks in Taiwan
988
Delay time measurement in transition metal oxide glass devices
989
Delay Time Models of Population Dynamics with Application to Schistosomiasis Control
990
Delay time of propelling force with ship´s Controllable Pitch Propeller (CPP)
991
Delay time of switching diodes based on space-charge overlapping
992
Delay time performance of multihop networks utilizing finite-length buffers
993
Delay time reduction of high power microwave surface flashover using metallic initiators
994
Delay time sensitivity analysis of multi-generation BiCMOS digital circuits
995
Delay time sensitivity in linear RC mesh
996
Delay time sensitivity in nonlinear monotone RC trees
997
Delay time studies and electron mobility measurement in a-Si:H TFT
998
Delay Time Studies in a Channel Electron Multiplier
999
Delay Time Variations and Instabilities in Isopaustic (Zero Temperature Coefficient) Glasses
1000
Delay time-based data transmission sequence for multipath transmission control protocol (M/TCP)
بازگشت