<< مقالات لاتين فني مهندسي >>
<< بر اساس عنوان >>
1
Plasma expansion and current flow in a vacuum arc with a small anode
2
Plasma expansion and current flow in a vacuum arc with a small anode
3
Plasma Expansion From a Radio Frequency Microdischarge
4
Plasma Expansion in a Negative-Polarity Rod-Pinch Diode
5
Plasma expansion in a paraxial magnetic nozzle
6
Plasma Experiment for Planetary Exploration (PEPE) on DS1
7
Plasma facing conponents of EAST
8
Plasma filament decay in an external electric field
9
Plasma filamentation and upper pressure limit for neutron yield in a DPF device
10
PLASMA FILLED DIODE EXPERIMENTS ON GAMBLE II
11
Plasma filled diode operation with plasma created in situ by a low pressure hollow gas discharge
12
Plasma filled radial acceletron
13
Plasma filling of high-power backward wave oscillators with nonuniform slow wave structures
14
Plasma filtration of vacuum arc droplets
15
Plasma Fireballs
16
Plasma flood system for the Precision Implant 9200
17
Plasma flood system-physics of low energy electron generation, plasma coupling, electron transport and surface charge neutralization on wafer
18
Plasma flow and magnetic mode rotation in the MST reversed-field pinch
19
Plasma Flow Characteristics in a Spray-Type Dielectric Barrier Discharge Reactor
20
Plasma flow discharge researches at the PIRIT-2000 facility
21
Plasma flow in high-power thruster with anode layer
22
Plasma flow in nonhomogeneous magnetic field as a lens for focusing of ion beams
23
Plasma flow parameters of vacuum arc discharge in the vapors of anode material
24
PLASMA FLOW SWITCH AND FOIL IMPLOSION EXPERIMENTS ON PEGASUS II
25
Plasma Flow Switch Development At Los Alamos National Laboratory
26
Plasma flow switch experiment on Procyon
27
Plasma Flow Switch Experiments On Pegasus-II
28
Plasma Flow Switch Experiments On The Pegasus Facility
29
Plasma flow switch for foil-implosion experiments: short-circuit-load experiments at 6 MA
30
Plasma fluctuations, local partial Saha equilibrium, and the broadening of vacuum-arc ion charge state distributions
31
Plasma fluctuations, local partial Saha equilibrium, and the broadening of vacuum-arc ion charge state distributions
32
Plasma Fluorination of Highly Ordered Pyrolytic Graphite and Single Walled Carbon Nanotube Surfaces
33
Plasma focus as a lens for intense ion beam focusing
34
Plasma focus discharge on mercury
35
Plasma Focus Discharges With Multiple Current Sheets
36
Plasma Focus Effort At Los Alamos
37
Plasma Focus Experiments At The Kurchatov Institute Moscow
38
Plasma focus generated by radial foils on COBRA
39
Plasma Focus Operation With High-z And deuterium plasma mixtures, nuclear reactions in plasma and external targets
40
Plasma focus-anode contact zone chronography
41
Plasma focused electron beam for the pasotron
42
Plasma Focusing for High-Energy Beams
43
Plasma focussing of the Final Focus Test Beam
44
Plasma for better rail adhesion
45
Plasma for plasmonics
46
Plasma formation and electrical breakdown in water
47
Plasma Formation and Evolution from an Aluminum Surface Driven by a MG Field
48
Plasma formation and evolution on a copper surface driven by megaampere current pulse
49
Plasma Formation And Expansion In An Electrothermal Plasma Injector
50
Plasma formation and microdischarges on piezoelectric transformers
51
Plasma formation and seeding of Rayleigh-Taylor instabilities in wire array z-pinches
52
Plasma formation around single wires
53
Plasma formation dynamics for laser interaction with near critical foam matter
54
Plasma Formation Experiments Relevant To Magnetized Target Fusion
55
Plasma formation in a pseudospark discharge
56
Plasma formation in MHD simulations of the UNR Megagauss Experiment using MACH2
57
Plasma formation in the intense pulsed neutron source (IPNS) rapid cycling synchrotron (RCS)
58
Plasma formation in the wire array Z-pinch
59
Plasma formation in water by picosecond and nanosecond Nd:YAG laser pulses. I. Optical breakdown at threshold and superthreshold irradiance
60
Plasma formation in water by picosecond and nanosecond Nd:YAG laser pulses. II. Transmission, scattering, and reflection
61
Plasma formation inside gap with one-hole control grid
62
Plasma formation of buffer layers for multilayer resist structures
63
Plasma formation, evolution, and dynamics in 100 TW vacuum-transmission-line post-hole convolutes
64
Plasma Formation, Evolution, and Dynamics in 100-1000 TW Vacuum-Transmission-Line Post-Hole Convolutes
65
Plasma Forming Coatings with Photothermal Conversion Properties on Glass
66
Plasma frequency reduction factors in electron beams
67
Plasma frequency reduction in electron streams by helices and drift tubes
68
Plasma frequency selective surfaces
69
Plasma frequency selective surfaces
70
Plasma Frequency Selective Surfaces
71
Plasma Fuel Nozzle as a Prospective Way to Plasma-Assisted Combustion
72
Plasma fueling by sputtering and gas desorption during high power RF operation on the Phaedrus-T tokamak
73
Plasma fueling, pumping, and tritium handling considerations for FIRE
74
Plasma functionalization and effect of annealing on electrical properties of MWCNT buckypaper
75
Plasma functionalization of SiO2 nanoparticles for the synthesis of polymer nano-dielectrics
76
Plasma functionalized and patterned single-walled carbon nanotube for covalent attachment based microdevices
77
Plasma fusion and cold fusion
78
Plasma Fusion at 10 MK With Extremely Heated ^{3}{\\rm He} Ions
79
Plasma Gasification of Coal in Different Oxidants
80
Plasma gate switch experiment on Pegasus II
81
Plasma Generation by Laser Filamentation in Air
82
Plasma Generation for Strip Applications: Micro-Wave vs RF Generation - Comparisons, Advantages and Trade-Offs
83
Plasma generation for the plasma cutting process
84
Plasma Generation in a Gas Discharge System With Irradiated Porous Zeolite
85
Plasma generation in an organic molecular gas by an ultraviolet laser pulse
86
Plasma generation with a thin-wire discharge for use as pseudospark discharge initiation
87
Plasma glow dynamics of pulsed nitrogen discharge induced by the powerful terahertz waves
88
Plasma Grown Oxides On Single Crystal And Amorphous Silicon Substrates
89
Plasma Grown Oxy-Nitride Films for Silicon Surface Passivation
90
Plasma guiding and wakefield generation for second-generation experiments
91
Plasma Guns For The Generadon Of pulsed metal plasma streams
92
Plasma harmonic generation at millimetre wavelengths
93
Plasma health care - AIMS, constraints, and progress
94
Plasma heating and current drive systems for ITER and future fusion devices
95
Plasma heating and current drive systems for the Fusion Ignition Research Experiment (FIRE)
96
Plasma heating by a relativistic electron beam
97
Plasma heating by collisional magnetic pumping in a steady-state modified Penning discharge
98
Plasma heating by injection op relativistic electron beams in neutral gas
99
Plasma heating by relativistic electron beams: Correlations between experiment and theory
100
Plasma heating experiments with ten microsecond reb at multimirror trap GOL-3
101
Plasma heating in a solenoid by a relativistic electron beam
102
Plasma heating in solenoids by high-power relativista electron beams
103
Plasma heating in the multimirror trap GOL-3 by prolongated pulse REB
104
Plasma heating systems on the JET Tokamak
105
Plasma help preparation of electrospun carbon nanofibers
106
Plasma hole
107
Plasma hydrodynmics with account for relaxation degrees of freedom
108
Plasma hydrogenation of metal-induced laterally crystallized thin film transistors
109
Plasma Ignition in a 30-mm Cannon
110
Plasma ignition in free standing gas bubbles
111
Plasma Ignition Of Pulverised Coal
112
Plasma ignition response for LOVA gun propellant at low loading densities
113
Plasma Ignition Response for LOVA Gun Propellant at Low Loading Densities
114
Plasma image processing of high speed arc movement in a rail-gun
115
Plasma imaging and spectroscopy diagnostics developed on 100-500-kA pulsed power devices
116
Plasma immersion Ar+ ion implantation induced disorder in strained InGaAsP multiple quantum wells
117
Plasma immersion implantation for modification and doping of semiconductor materials: a historical perspective: 1886 to 2000
118
Plasma immersion ion implantation and deposition
119
Plasma immersion ion implantation as an alternative deep trench buried-plate doping technology
120
Plasma immersion ion implantation as an alternative doping technology for ULSI
121
Plasma immersion ion implantation doping using a microwave multipolar bucket plasma
122
Plasma immersion ion implantation for semiconductor processing
123
Plasma Immersion Ion Implantation for the Prevention of Metal Ion Release From CoCrMo Alloys
124
Plasma immersion ion implantation for ULSI devices
125
Plasma Immersion Ion Implantation Into Inner Surface of Cylindrical Bore Using Moving Auxiliary Electrode
126
Plasma immersion ion implantation with dielectric substrates
127
Plasma Immersion Ion Implantation With Lithium Atoms
128
Plasma immersion ion implantation with lithium atoms
129
Plasma Immersion Ion Implantation with Lithium Ions
130
Plasma immersion ion implantation: From microelectronics to biomedical engineering
131
Plasma impedance in a narrow gap capacitively coupled RF discharge
132
Plasma impedance matching using fractional order sliding mode based extremum seeking control
133
Plasma Impedance Probe Analysis with a Finite Difference Time Domain Model
134
Plasma impedance probe analysis with a finite difference time domain simulation
135
Plasma Impedance Probe: Simulations and Comparison to Sounding Rocket Mission Data
136
Plasma implantation of cylindrical bore - a reality?
137
Plasma implantation of inner wall of cylindrical insulating tube
138
Plasma implantation of silicon solar cells for emitter and localized BSF formation
139
Plasma in a pulsed discharge environment
140
Plasma in a Rotating Magnetic Field
141
Plasma inactivation of candida albicans by an atmospheric cold plasma brush composed of hollow fibers
142
Plasma induced charging and physical damage after dry etch processing
143
Plasma induced charging damage and oxide degradation after dry etch processing
144
Plasma Induced Charging Damage On 30/spl Aring/ Gate Oxide Antenna MOS Capacitor Structure During Polysilicon Gate Etch
145
Plasma induced charging damage on thin gate oxide
146
Plasma Induced Charging Evaluation Using SCA And PDM Tools
147
Plasma induced damage from HDP process on the ultra-thin gate oxide
148
Plasma induced damage from via etching in pMOSFETs
149
Plasma induced damage investigation in the fully depleted SOI technology
150
Plasma induced damage monitoring for HDP processes
151
Plasma induced damage of aggressively scaled gate dielectric (EOT ≪ 1.0nm) in metal gate/high-k dielectric CMOSFETs
152
Plasma induced damage on sub-0.5 μm MOSFETs using a CMOS driver as input protection
153
Plasma induced damage testing methodology for the 0.13 μm CMOS technology
154
Plasma induced efficiency enhancement studies on the UNM long pulse BWO
155
Plasma induced erosion phenomena in a Hall thruster
156
Plasma induced gain enhancement in backward wave oscillators using pencil beams
157
Plasma induced substrate damage in high dose implant resist strip process
158
Plasma inducted wafer arcing in back-end process and the impact on reliability
159
Plasma influence on electromagnetic properties of gyrotron cavities
160
Plasma influence on the electromagnetic properties of coupled-cavity slow wave structures
161
Plasma initiation of an explosive emission center - ecton
162
Plasma initiation of vacuum arcs on a metal surface partially covered with a dielectric film
163
Plasma Injection and Trapping Measurements
164
Plasma injection technique for species separation and magnetic field penetration experiments
165
Plasma instabilities in plasma thrusters
166
Plasma instability in ECR heated ion sources
167
Plasma instability measurements on planar Al foil loads driven using the MAIZE 1-MA LTD facility
168
Plasma Instability Modeling With Mixed Wave/Diffusion Field Behavior
169
Plasma insulation from the walls by a poloidal magnetic field in MAGO chamber
170
Plasma Interaction With a Static Spatially Periodic Electromagnetic Field
171
Plasma Interactions With Bacterial Biofilms as Visualized Through Atomic Force Microscopy
172
Plasma Interactions with Biased Concentrator Solar Cells
173
Plasma interactions with living cells
174
Plasma inverse transition acceleration
175
Plasma ion implantation hydrogenation of poly-Si CMOS thin-film transistors at low energy and high dose rate using an inductively-coupled plasma source
176
Plasma Ionization in Low-Pressure Radio-Frequency Discharges—Part II: Particle-in-Cell Simulation
177
Plasma Ionization in Low-Pressure Radio-Frequency Discharges. Part I: Optical Measurements
178
Plasma isotope separation methods
179
Plasma Jet Etching at Atmospheric Pressure for Semiconductor Production
180
Plasma jet for environmental applications: Computational study of the electric field distribution between electrodes
181
Plasma jet for pre-conditioning of a carbon fibre Z-pinch
182
Plasma Jet Ignition of a Propane–Air Stoichiometric Mixture (Cylindrical Jet)
183
Plasma Jet Ignition of Propane–Air Stoichiometric Mixture (Flat Jet)
184
Plasma Jet Interaction With a Dielectric Surface
185
Plasma jet interaction with a spherical target in magnetic field
186
Plasma jet-helical antenna field interaction
187
Plasma Jetlike Point-to-Point Electrical Discharge in Air and Its Bactericidal Properties
188
Plasma jets proposed as satellite steering systems
189
Plasma Jet-to-Jet Coupling Behavior Between Two Plasma Jet Arrays for Surface Treatments Requiring Strong Discharge Process
190
Plasma kinetic control in a tokamak
191
Plasma Kinetic Modeling for Production and Transport of Atomic Fluorine from NF3 Gas for Cleaning of CVD Chambers
192
Plasma kinetics in ultrashort pulse laser filament: Time resolved spectral measurement
193
Plasma Kinetics Issues During the Excitation Phase in an Elemental Copper Vapour Laser: Influence of the "Phantom Current" on the Formation of Laser Output
194
Plasma lens and plasma Wakefield acceleration experiments using twin linacs
195
Plasma lens clearing of the microdroplets in cathodic arc plasma flow
196
Plasma lens focusing and plasma channel transport for heavy ion fusion
197
Plasma lens focusing of an intense electron beam formed by a vacuum arc plasma electron source
198
Plasma lens focusing of heavy ion beams utilizing a wall-stabilized discharge
199
Plasma lens for transformation the ITEP heavy ion accelerator with TDI-pseudosparks
200
Plasma lens for US based super neutrino beam at either FNAL OR BNL
201
Plasma lenses
202
Plasma lenses
203
Plasma lenses for SLAC Final Focus Test Facility
204
Plasma Light diagnostic for PWFA at SLAC
205
Plasma Light Source For The VUV Spectral Region
206
Plasma liner implosion with microturbulence effects consideration
207
Plasma lithography for control of cell morphology and proliferation
208
Plasma lithography for probing collective cell behaviors
209
Plasma loads on divertor target during edge localized mode and the effect of volume recombination
210
Plasma loop antenna — Computing and experimental research
211
Plasma Low-Pressure Nonsteady Diffusion Fluid Model for Pulsed Plasma Recovery
212
Plasma Lysis for Identification of Bacterial Spores Using Ambient-Pressure Nonthermal Discharges
213
Plasma made antireflective GaAs nanograss
214
Plasma magnetic robust control in tokamak-reactor
215
Plasma magneto-compressional cyclotron maser
216
Plasma manipulation using a MHD-based device for a communication blackout in hypersonic flights
217
Plasma measurements of the plume of a new miniature helicon thruster
218
Plasma measurements using ponderomotive forces to perturb the translational motion of particles
219
Plasma mediated ablation of biological tissues with nanosecond-to-femtosecond laser pulses: relative role of linear and nonlinear absorption
220
Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma
221
Plasma medicine - Opportunities and challenges for science and healthcare
222
Plasma medicine: The advent of the plasma kill - plasma heal paradigm
223
Plasma melting of incineration ashes
224
Plasma Membrane Charging of Jurkat Cells by Nanosecond Pulsed Electric Fields
225
Plasma membrane damage as a marker of neuronal injury
226
Plasma memory display with internal addressing
227
Plasma Metallization Coating and Its Adhesion to Microwave Transistor Substrate—Part 1: Methods of Experimental Research
228
Plasma Metallization Coating and Its Adhesion to Microwave Transistor Substrate—Part 2: Experimental Study of 3-D Composite Coating
229
Plasma metallization textiles as shields for electromagnetic fields
230
Plasma metamaterials for novel interaction between plasmas and waves
231
Plasma metamaterials, and their reconfigurable and nonlinear properties
232
Plasma Microchannel and Jet Enhanced by an Array of Ellipsoidal Microcavities
233
Plasma microdischarge as power-induced limiter element in microstrip devices
234
Plasma Microwave Mirror Experiments
235
Plasma mirror distortions and instabilities induced by high intensity femtosecond pulses
236
Plasma mirror with few-cycle laser pulses
237
Plasma Mode HEMTs with RTD Gate and Multiple 2DEG Channels for Stable Terahertz Operation
238
Plasma modeling and magnetic control of FAST tokamak proposal
239
Plasma Modeling With Mixed Wave and Diffusion Field Behavior
240
Plasma modification of bulk niobium surface for SRF cavities
241
Plasma Modification of Fluoropolymers for Aligning Liquid Crystals
242
Plasma modification of the internal polymer surfaces and polarization fatigue in cellular-polypropylene ferroelectrets
243
Plasma modified chitosan/N-acetyl-2-pyrazoline derivative nanofibers
244
Plasma Modulation of an HCN Gas Laser (Short Papers)
245
Plasma molding over surface topography: Simulation and measurement of ion fluxes, energies and angular distributions over trenches in RF high density plasmas
246
Plasma molding over surface topography: Simulation of ion flow, and energy and angular distributions over steps in RF high-density plasmas
247
Plasma motion in a filtered cathodic vacuum arc
248
Plasma motion into a transverse magnetic field and plasma
249
Plasma Nanoscience in a sustainability age
250
Plasma nanoscience: from nature´s mastery to deterministic plasma-aided nanofabrication
251
Plasma Nanoscience: From Nature´s Mastery to Deterministic Plasma-Aided Nanofabrication
252
Plasma Needle: An Atmospheric Plasma Jet for Dentistry
253
Plasma neutralization models for intense ion beam transport in plasma
254
Plasma Neutron Diagnostic Techniques with Good Spatial and Energy Resolution
255
Plasma nitridation of gate insulator on 4H-SiC
256
Plasma Noise Sources of Improved Accuracy
257
Plasma nonlinearities and terahertz detection by Field Effect Transistors
258
Plasma nonlinearity influence on HF oscillation excitation by the electron beam in hybrid plasma waveguides
259
Plasma objects diagnostic using transitional radiation of the electron bunches
260
Plasma of Arc Discharge in Water for the Formation of Diverse Nanostructures Dependent on the Anode Material
261
Plasma of Vacuum Discharges: The Pursuit of Elevating Metal Ion Charge States, Including a Recent Record of Producing Bi13+
262
Plasma ohmic discharge simulation of CFETR
263
Plasma opening switch conduction phase adjustment
264
Plasma Opening Switch Development for the Particle Beam Fusion Accelerator II (PBFA II)
265
Plasma Opening Switch experiments at Sandia National Laboratories
266
Plasma Opening Switch Experiments On Hawk With An E-beam Diode Load
267
Plasma opening switch experiments on the Particle Beam Accelerator II
268
Plasma Opening Switch for long-pulse intense ion beam
269
Plasma Opening Switch Operation Scenario
270
Plasma opening switch operation with microsecond generator
271
Plasma Opening Switch Performance In A Radial Geometry
272
Plasma Opening Switch Research At Physics International Company
273
Plasma opening switch research for DECADE
274
Plasma opening switch scaling relationships derived from operations in the "switch limited" regime
275
Plasma opening switch synchronization facility
276
Plasma opening switch using laser-produced plasma
277
Plasma opening switch using plasmas produced by a pulsed CO/sub 2/ laser
278
Plasma opening switch with extrinsic magnetic field
279
Plasma opening switch with isolation by extrinsic magnetic field
280
Plasma opening switch with isolation by extrinsic magnetic field
281
Plasma opening switch. A system and structure analysis in the framework of the Hall MHD [pulsed power]
282
Plasma opening switch-load coupling on ACE 4
283
Plasma Optimization Usinga Real-Time, Insitu Sensor BASED on Optical and RF Signatures: Advanced ETCH Applications
284
Plasma or Retirement. Alternatives to the Coal-Fired Power Plants
285
Plasma oscillation effects on nested Hall thruster operation and stability
286
Plasma oscillations
287
Plasma oscillations in field-effect transistor arrays
288
Plasma oxidation of metallic gadolinium deposited on silicon by high pressure sputtering as high permittivity dielectric
289
Plasma packet propagation in microchannels
290
Plasma panel detectors for MIP detection for SLHC and a test chamber design
291
Plasma Panel Sensors as Scintillation Detectors
292
Plasma panel sensors for particle and beam detection
293
Plasma Parameter Estimation in Capillary Single Barrier DBD Source Using Space Resolved Plasma Spectroscopy and PIC Simulation
294
Plasma parameters after a forced extinguishing of the diffuse vacuum arc
295
Plasma Parameters Characteristic of Hydrogen Thyratrons under Steady-State Conditions
296
Plasma Parameters in a New Plasma Source
297
Plasma Parameters in a Pre-Ionized HiPIMS Discharge Operating at Low Pressure
298
Plasma parameters in burn rates processes of a solid propellant for electrothermal-chemical launch devices
299
Plasma parameters of an arc cathode spot at the low-current vacuum discharge
300
Plasma Parameters Of Ar-N/sub 2/ Postive Column
301
Plasma parameters of dense gas discharge with runaway electrons
302
Plasma parameters of diffusion-controlled microwave discharges in surface-wave fields
303
Plasma parameters of MSE sustained high pressure glow discharges
304
Plasma parameters within the cathode spot of laser-induced vacuum arcs: experimental and theoretical investigations
305
Plasma parameters within the cathode spot of laser-induced vacuum arcs: experimental and theoretical investigations
306
Plasma parameters within the cathode spot of the vacuum arc
307
Plasma particle analysis near the surface in barrier discharges by evanescent laser spectroscopy using optical waveguide
308
Plasma Particle Analysis on and Near the Surface in Barrier Discharges Using Laser-Based Measurement
309
Plasma particle rotation by microwave fields
310
Plasma particle simulations on electric antenna and spacecraft environment
311
Plasma PEL brightness quadrupled by transport charges
312
Plasma pel brightness quadrupled by transport charges
313
Plasma Performance of the Central Cell of a Cat-D Tandem Mirror Reactor
314
Plasma performance required for fusion power control considering grid operation in Demo-CREST
315
Plasma PH3-passivated high mobility inversion InGaAs MOSFET fabricated with self-aligned gate-first process and HfO2/TaN gate stack
316
Plasma Photonics Crystal in Coupled-Cavity Traveling-Wave Tube
317
Plasma photonics in hollow-core photonic crystal fibers
318
Plasma physics and the interruption of an electric circuit
319
Plasma physics basis and operations of the ARIES-ST tokamak power plant
320
Plasma physics challenge: confirm new plasma wave mode predicted by space physics
321
Plasma physics for gas discharge lighting
322
Plasma physics from laboratory to cosmos-the life and achievements of Hannes Alfven
323
Plasma physics from laboratory to Cosmos-the life and achievements of Hannes Alfven
324
Plasma physics in Latin America
325
Plasma Physics In Latin America
326
Plasma physics issues in gas discharge laser development
327
Plasma physics of starships
328
Plasma physics on the factory floor: things the textbooks never worry about
329
Plasma physics programme at TEXTOR-94
330
Plasma physics simulations of double potential wells in an inertial electrostatic confinement (IEC) device
331
Plasma Physics-An Elementary Review
332
Plasma Pinch Research on University Pulsed-Power Generators in the United States
333
Plasma planarization for sensor applications
334
Plasma Plume Ignited by Plasma Plume at Atmospheric Pressure
335
Plasma Plume of Annular and Cylindrical Hall Thrusters
336
Plasma Pockels cell based optical switch for the National Ignition Facility
337
Plasma polaritron instability in semiconductor superlattice
338
Plasma polymer electrets
339
Plasma Polymer Film as a Model Interlayer for Polymer Composites
340
Plasma polymer process for microfluidic devices fabrication
341
Plasma Polymer-coated on Nanoparticles to Improve Dielectric and Electrical Insulation Properties of Nanocomposites
342
Plasma polymerisation - processing for the future
343
Plasma polymerization in pulse-periodical discharge
344
Plasma polymerization of methyl methacrylate: a photoresist for 3D applications
345
Plasma polymerization: A new process for electronics
346
Plasma polymerized allylamine functionalization of quartz particles for the removal of anionic water contaminants
347
Plasma polymerized composite thin films produced by double discharges technique
348
Plasma polymerized electrospun PEDOT-S nanofibers obtained by in-situ radio frequency plasma treatment
349
Plasma polymerized thiophene using intense and highly energetic atmospheric pressure micro plamsa jet for polymeric batteries
350
Plasma Polymers Obtained From Hexamethylisiloxane As Sorptive Layers For Humidity Sensors
351
Plasma polymers prepared by rf sputtering
352
Plasma position and current control management at JET
353
Plasma Position and Elongation Regulation at FTU Using Dynamic Input Allocation
354
Plasma position and shape control for ITER
355
Plasma position and shape control in ITER using in-vessel coils
356
Plasma position control experiments on a tokamak with force-balanced coils
357
Plasma position control simulation with a simple model for new control coil system of KSTAR tokamak
358
Plasma position control simulations with PSIM/SIMULINK to validate the WEST divertor coils power supplies topology
359
Plasma position control system in HL-1M tokamak
360
Plasma Position Determination by Using Fixed Boundary Conditions in GSE Solution Versus Experimental Technique in IR-T1 Tokamak
361
Plasma potential measurement on ECRIS by using extracted ion beam
362
Plasma power detuned synthesis of Si-QD doped Si-rich SiOx thin film for multicolor electroluminescent diodes
363
Plasma pressure scaling of laser ablation generated surface acoustic waves
364
Plasma Pretreatment for Polymer Deposition—Improving Antifelting Properties of Wool
365
Plasma printing: patterned surface functionalisation and coating at atmospheric pressure
366
Plasma probing with a table-top soft X-ray laser
367
Plasma probing with a table-top soft x-ray laser
368
Plasma process considerations for copper wire bonding
369
Plasma process considerations in emerging semiconductor packaging technologies
370
Plasma process control with optical emission spectroscopy
371
Plasma process induced abnormal 1/f noise behavior in deep sub-micron MOSFETs
372
Plasma process induced damage during via etching on PDMOS transistors
373
Plasma process induced damage in sputtered TiN metal gate capacitors with ultra-thin nitrided oxide
374
Plasma process induced degradation of thin inter-polysilicon dielectric layers
375
Plasma Process Induced Device Degradation
376
Plasma process induced radiation effects in CMOS technology
377
Plasma process inducing gate oxide breakdown in the FDSOI technology
378
Plasma process integration for larger wafer manufacturing
379
Plasma processes associated with a rapidly moving magnet in the ionosphere
380
Plasma Processes Driven by Current Sheets and Their Relevance to the Auroral Plasma
381
Plasma Processes for Electrical and Electronics Applications
382
Plasma processes for formation of electronic structures with wide bandgap material layers
383
Plasma processes for thin film surface treatment
384
Plasma processes in electron-beam controlled rare-gas halide lasers
385
Plasma processes involved in dry processing
386
Plasma processes of nuclear waste materials under atmospheric-pressure
387
Plasma process-induced charging during PECVD overlay nitride deposition
388
Plasma process-induced damage in SOI devices
389
Plasma process-induced latent damage on gate oxide-demonstrated by single-layer and multi-layer antenna structures
390
Plasma process-induced wire-to-wire leakage current for low-k SiOC/Cu damascene structure
391
Plasma processing
392
Plasma processing
393
Plasma processing and surface treatments in LAPPS
394
Plasma processing for field emission displays
395
Plasma processing of hazardous materials
396
Plasma processing of high T/sub c/ superconducting films
397
Plasma processing of PET in an oxygen decoupled plasma source
398
Plasma processing with plasmas based on electron beam ionization
399
Plasma Production by Multiphase Alternating-Current Underwater Discharge
400
Plasma production in a small high field force-balanced coil tokamak based on virial theorem
401
Plasma Production in Isolated Bubbles
402
Plasma production in large volume plasma system by compact electron cyclotron resonance sources
403
Plasma production in large volume plasma system by compact electron cyclotron resonance sources
404
Plasma Production Using An Asmussen Cavity In A Magnetic Field
405
Plasma production using rotating mode radial line slot antennas with densely arrayed slots
406
Plasma production using rotating mode radial line slot antennas with densely arrayed slots fed by a cavity resonator
407
Plasma Production, Losses, and Electron Temperature Measurements in the KEMP II B Electromagnetic Trap
408
Plasma profile control using external circuit in capacitively coupled plasma reactors
409
Plasma propagation
410
Plasma propagation along the long positive column plasma: I. light signal observations
411
Plasma propagation along the long positive column plasma: II. plasma wave analysis
412
Plasma propagation and ignition of propellant in the chamber of a SPETC gun
413
Plasma propagation and standing waves in spiral microplasma channels
414
Plasma propagation phenomena in long discharge tubes, plasma jets, and plasma display panels
415
Plasma Propagation Speed and Electron Temperature in Slow Electron Energy Non-thermal Atmospheric Pressure Indirect-Plasma Jet
416
Plasma propagation speed and electron temperature in surface-discharge alternating-current plasma display panels
417
Plasma propagation speed and electron temperature in surface-discharged alternating-current plasma display panels
418
Plasma propagation speed and electron temperature of ar and N2 in atmospheric pressure non-thermal bioplasma jet
419
Plasma propagation speed and electron temperature of ar in atmospheric pressure non-thermal indirect bioplasma jet
420
Plasma Propagation Through Porous Dielectric Sheets
421
Plasma properties during the formation of “nanograting” structures inside fused silica
422
Plasma properties in the far-field plume of a radiofrequency plasma thruster
423
Plasma properties of a novel commercial plasma source for high-throughput processing of c-Si solar cells
424
Plasma properties of arc cathode spots at liquid-metal cathodes
425
Plasma properties of high-pressure microhollow cathode discharges in argon
426
Plasma properties of kiloampere discharges
427
Plasma properties of radial foil explosions on the cornell beam research accelerator
428
Plasma properties of the cybele negative ion source for fusion applications: PIC simulations and experiments
429
Plasma properties of YBaCuO oxide films by rf facing targets magnetron sputtering
430
Plasma Propulsion Devices for Space Flight
431
Plasma Propulsion Possibilities
432
Plasma puffing from a railgun armature
433
Plasma Pulse Jet—Zero-Dimension Modeling Versus Experiments
434
Plasma purification by ion cyclotron resonance for plasma source ion implantation doping of semiconductors
435
Plasma Pyrolysis of Biomass for Production of Gaseous Fuel to Generate Electricity
436
Plasma pyrolysis of hazardous process and biological hospital waste
437
Plasma pyrolysis: the destruction of toxic liquid wastes
438
Plasma Q switch for neodymium lasers
439
Plasma radiation in tokamak disruption simulation experiments
440
Plasma radiation source implosion limits due to azimuthal asymmetries
441
Plasma reactor - A complete IC factory?
442
Plasma reactors with nanosecond coronas and semiconductor SOS generators
443
Plasma reactors with variable gas linear velocity. Studies on ozone synthesis
444
Plasma real-time control system for advanced tokamak operation scenarios in JT-60
445
Plasma reflectarrays
446
Plasma reflectometry in Madison symmetric torus
447
Plasma Reflectors For Electronic Beam Steering In Radar
448
Plasma reflectors for electronic beam steering in radar systems
449
Plasma reflow bumping of Sn-3.5 Ag solder for flux-free flip chip package application
450
Plasma reforming of aliphatic hydrocarbons with CO2
451
Plasma reforming of aliphatic hydrocarbons with CO2
452
Plasma refractive effect in HCN lasers
453
Plasma refractive index measurements utilizing a He-Ne Vernier interferometric laser
454
Plasma refractometry using angular spectral filters on OMEGA EP
455
Plasma Relativistic Microwave Amplifier and Oscillator
456
Plasma relativistic microwave electronics in the A. M. Prokhorov General Physics Institute
457
Plasma resist image stabilization technique (PRIST)
458
Plasma resistance and behavior of polybenzoxazine polymer
459
Plasma resistance of perfluoroelastomer and fluoroelastomer seals used in plasma environments
460
Plasma resistant modified I-line, deep UV and E-beam resists
461
Plasma resistant modified I-line, deep UV, and e-beam resists
462
Plasma resonances excited by antennas
463
Plasma response modeling for multivariable tokamak control design
464
Plasma ripple
465
Plasma Rossby wave as a mechanism for atmospheric fireball´s formation
466
Plasma rotation in a Micro-Vacuum Arc Thruster
467
Plasma scattering measurement by using a submillimeter wave gyrotron as a radiation source
468
Plasma scattering measurement in NSTX Tokamak using a submillimeter wave Gyrotron as a radiation source
469
Plasma Scattering Measurement using a Gyrotron as a Radiation Source
470
Plasma Scattering Measurement using a Submillimeter Wave Gyrotron as a Radiation Source
471
Plasma scattering measurement using a submillimeter wave gyrotron as a radiation source
472
Plasma scattering measurement using gyrotron FU II as radiation source
473
Plasma scattering of electromagnetic radiation
474
Plasma Science and Technology in the Limit of the Small: Microcavity Plasmas and Emerging Applications
475
Plasma science in the limit of the small: Recent advances in microcavity plasmas and their applications
476
Plasma Screening Effects on Atomic Collisional Orientation in Quantum Plasmas
477
Plasma Screening of Funnel Fields
478
Plasma sctence and engineering at NSF
479
Plasma self-bias and ion acceleration in the madhex helicon source
480
Plasma separation PMMA device driven by capillary force controlling surface wettability
481
Plasma shape control calculations for BPX divertor design
482
Plasma shape control for the JET tokamak: an optimal output regulation approach
483
Plasma shape reconstruction in tokamak Globus-M experiments with using magnetic sensors
484
Plasma sheath electric field strengths above a grooved electrode in a parallel-plate radio-frequency discharge
485
Plasma sheath modeling near an ICRF Faraday shield
486
Plasma sheath phase fluctuation and its effect on GPS navigation
487
Plasma Sheaths of Models in Hot-Shot Wind Tunnels
488
Plasma Sheet Generated by Microwave Discharge at Atmospheric Pressure
489
Plasma Sheets and Cylinders Generated From Aluminum Wire Fabric
490
Plasma Shield
491
Plasma shutter for TEA-CO2 laser
492
Plasma simulation by artificial dielectrics and parallel-plate media
493
Plasma Simulation for High Frequency Plasma Source Design and Process Development
494
Plasma simulation with an artificial dielectric in a horn geometry
495
Plasma sintering behavior of binderless WC powders
496
Plasma source and ADVAM-technology of thin film deposition based on discharge in anode material vapors
497
Plasma source based on arc discharge with cold hollow cathode
498
Plasma Source Cavity Ringdown Spectroscopy for Environmental Applications: Detections of Elemental Mercury and its Stable Isotopes
499
Plasma source characterization and development for the density controlled opening switch
500
Plasma Source for a Miniature and Repetitive Plasma Opening Switch
501
Plasma source ion implantation of argon for shallow boron doping
502
Plasma source ion implantation of magnesium alloys
503
Plasma source ion implantation process for corrosion protection of 6061 aluminum
504
Plasma source ion implantation: history, successes, failures, challenges, and future prospects
505
Plasma source reproducibility studies for ACE 4
506
Plasma source test and simulation results for the underdense plasma lens experiment at the UCLA Neptune Laboratory
507
Plasma source variations in plasma opening switch experiments
508
Plasma sources & sensors of near terahertz radiation
509
Plasma sources for biomedical applications: Past, present, and future
510
Plasma sources for future plasma wakefield accelerator based electron/positron collider
511
Plasma sources for local or large-area treatment in biomedical applications: Basic physical characterization
512
Plasma sources for micro-thrusters
513
Plasma sources for plasma opening switches
514
Plasma sources in planetry magnetosphere
515
Plasma species in methane-hydrogen and methane-hydrogen-argon arcjet plasmas
516
Plasma spectroscopic study of an electrodeless HID lamp contained with TII and Zn
517
Plasma Spectroscopy Diagnostics in Pulsed-Power X-Ray Radiography Diode Research
518
Plasma spectroscopy of pulsed power driven Z-pinch titanium plasmas
519
Plasma spectroscopy using the shock tube as a light source
520
Plasma spikes for the mitigation of shock waves
521
Plasma spray forming as a novel production method for thermoelectric materials
522
Plasma spray insulation coating for ITER magnet supports
523
Plasma sprayed ceramic coatings for electrical purposes-necessity of process control
524
Plasma sprayed contact materials for vacuum interrupters
525
Plasma sprayed thick film anisotropic magnetoresistive (AMR) sensors
526
Plasma spraying metal-porous cathodes for high-power microwave devices
527
Plasma spraying metal-porous cathodes with multilayer emitter structure for electro vacuum devices
528
Plasma spraying-an innovative coating technique: process variants and applications
529
Plasma spread in high-power thyristors under dynamic and static conditions
530
Plasma sputtering erosion/redeposition in fusion tokamaks—Modeling status and challenges
531
Plasma stability and confinement in the PBX-M tokamak
532
Plasma stability in a triggered vacuum switch
533
Plasma Stability in a Triggered Vacuum Switch
534
Plasma stabilization and improvement in the performance of a nonequilibrium disk MHD generator by a radio-frequency electromagnetic field
535
Plasma stabilization due to combination of a strong and alternating-sign magnetic field line curvature
536
Plasma standard cell for laboratory demonstrations and experiments
537
Plasma startup design and experience in fully superconducting tokamaks
538
Plasma Startup Design of Fully Superconducting Tokamaks EAST and KSTAR With Implications for ITER
539
Plasma Start-Up Measurements in the Toroidal Plasma Experiment TJ-K
540
Plasma start-up modelling for Alcator C-MOD
541
Plasma startup of the ARIES-III second stability advanced fuel tokamak
542
Plasma start-up using transient CHI on NSTX
543
Plasma Sterilization of Anthrax Pathogen in Water Mist
544
Plasma Sterilization: Opportunities and Microbial Assessment Strategies in Medical Device Manufacturing
545
Plasma stream homogeneity in metal plasma immersion ion implantation and deposition
546
Plasma stream homogeneity in metal plasma immersion ion implantation and deposition
547
Plasma stream in transverse magnetic field as amplifier of monochromatic microwave radiation
548
Plasma Strike-Point Sweeping on JET Tokamak With the eXtreme Shape Controller
549
Plasma structure inside and outside a helicon thruster
550
Plasma studies for an upgraded TFTR experiment
551
Plasma study of a moly-oxide-argon discharge bulb
552
Plasma suppression and spot size stabilization in single and multiple pulse flash X-ray radiography
553
Plasma suppression of synchrotron emission from radio-quiet infrared quasars
554
Plasma surface engineering of biomaterials
555
Plasma Surface Interaction (PSI) studies at DIII-D
556
Plasma Surface Modification and Gas Sensing Properties of SnO2 Thin Films Prepared by Plasma Enhanced Chemical Vapor Deposition
557
Plasma Surface Modification and Impact on MSL Performance for Flip Chip Packaging
558
Plasma surface modification of aluminium alloy samples treated with argon discharge conditioning
559
Plasma surface modification of biomaterials applied for cardiovascular devices
560
Plasma surface modification of gold and palladium catalysts for CO oxidation
561
Plasma surface modification of LDPE to improve insulation property
562
Plasma Surface Modification of Medical Poly Vinyl Chloride for Improvement of Surface Antibacterial Properties
563
Plasma Surface Modification of Polymer Backsheets: Origins of Future Interfacial Barrier/Backsheet Failure
564
Plasma Surface Modification of Polymer Substrates for Selective Hydrophobic Control
565
Plasma surface modification of polymers using atmospheric pressure discharges
566
Plasma surface modification of TiO2 nanoparticles for Dye-Sensitized Solar cell (DSSC) application
567
Plasma surface texturization for multicrystalline silicon solar cells
568
Plasma surface treatment of biomaterials
569
Plasma surface treatment of biomedical polymers to improve cell adhesion
570
Plasma Switching Using a Capacitive Discharge Technique
571
Plasma synthesis of ammonia with a microgap dielectric barrier discharge at ambient pressure
572
Plasma system requirements and performance data base for the Starlite/Demo fusion power plant
573
Plasma tails: Comets Hale-Bopp and Hyakutake
574
Plasma techniques used for fabricating cryoelectric device arrays
575
Plasma technologies and their computer simulations
576
Plasma technologies of solid and liquid toxic waste disinfection
577
Plasma technologies of solid and liquid toxic waste disinfection
578
Plasma technology for treatment of waste
579
Plasma technology optimization for a robust flip chip package
580
Plasma temperature decay due to polymer ablation by thermal plasma irradiation
581
Plasma temperature measurements by laser-induced fluorescence
582
Plasma temperature sensor of active medium in a radio-frequency-discharge-excited CO2 laser
583
Plasma Thermal Effect on the Growth Rate of the Helix Traveling Wave Tube
584
Plasma thermocouple converts energy directly
585
Plasma thinned SOI bonded wafers
586
Plasma thrustors for space propulsion
587
Plasma Time in Semiconductor Detectors
588
Plasma time-resolved measurements in silica-based glasses exposed to IR femtosecond laser
589
Plasma tomography systems for industrial plasma tools
590
Plasma torch and its associated MHD fields using the FLUENT code
591
Plasma torch as a means of improving wheel-rail adhesion
592
Plasma torch device for micro-machining applications
593
Plasma torch ignition by a half bridge resonant converter
594
Plasma Torch Optical Diagnostic of a Single-Phase Alternating Current Plasma Generator
595
Plasma torch optical diagnostic of a single-phase alternating current plasma generator
596
Plasma torches for the generation of atomic fluorine and nitrogen
597
Plasma toroid formation by polyphase microwave rotation
598
Plasma Transfer Functions
599
Plasma transparency at microwave frequencies
600
Plasma transport in graphene conduction channels and application for the detection of Terahertz signals
601
Plasma transport processes at the high latitude magnetosphere observed by cluster
602
Plasma treatment after interconnect metal etch for recovery of plasma charge-induced damages
603
Plasma Treatment for Leakage Reduction in AlGaN/GaN and GaN Schottky Contacts
604
Plasma treatment for the inactivation of Escherichia coli in water
605
Plasma treatment of a heated diesel/steam mixture for use in ship service fuel cell systems
606
Plasma treatment of contaminated liquid water: A comparison between steam bubble and gas bubble discharge
607
Plasma treatment of dental cavities: a feasibility study
608
Plasma treatment of hospital waste
609
Plasma treatment of mammalian vascular cells: a quantitative description
610
Plasma treatment of metallic artefacts
611
Plasma Treatment on the Plastic Substrates for Liquid Phase Deposited SiO2 Films for Flexible Electronics Applications
612
Plasma treatment process for fluxless reflow soldering
613
Plasma treatment to improve amorphous silicon solar cell´s performance
614
Plasma Treatments for Metallic Surface Modification to Obtain Highly Adherent Diamond-Like Carbon Coatings
615
Plasma Tubes Intercept Micorwave Radiation Independent of Polarization
616
Plasma turbulence and percolation effects
617
Plasma turbulence of non-specular trail plasmas as measured by a High Power Large Aperture radar
618
Plasma turbulence studies using correlation Doppler reflectometry on the ASDEX upgrade tokamak
619
Plasma type armatures [railguns]
620
Plasma uniformity issues in a 2/spl times/1 plasma-electrode Pockels cell
621
Plasma uniformity of inductively coupled plasma reactor with helical heating coil
622
Plasma utilization of Liquid Radioactive Waste
623
Plasma vacuum ultraviolet emission in a high density etcher
624
Plasma Varactor X-Band Phase Shifters
625
Plasma vertical speed robust control in Fusion Energy Advanced Tokamak
626
Plasma vertical stabilisation at JET using adaptive gain adjustment
627
Plasma vertical stability and feedback control for CIT
628
Plasma vertical stability and feedback control for TPX
629
Plasma Vertical Stabilization in the ITER Tokamak via Constrained Static Output Feedback
630
Plasma vertical stabilization in the presence of coil voltage saturation in the DIII-D tokamak
631
Plasma vision of the universe-shell structures for simulation of spiral plasmas
632
Plasma vision-novel electrode structures for the simulation of cylindrical and spherical plasmas in the Universe
633
Plasma Vitrification of Air Pollution Control Residues From Municipal Solid-Waste Incineration
634
Plasma wakefield acceleration experiments in overdense regime driven by narrow bunches
635
Plasma wakefield acceleration experiments using two subpicosecond electron bunches
636
Plasma wakefield acceleration experiments with 28.5 GeV electron and positron beams
637
Plasma wakefield acceleration of an intense positron beam: correlation between time-resolved and time-integrated energy diagnostics
638
Plasma wake-field acceleration of charged particles by selfmodulated long relativistic electron bunch
639
Plasma wakefield acceleration utilizing multiple election bunches
640
Plasma wakefield accelerator experiments in KEK
641
Plasma wakefield diagnostics using probe electron beam and microchannel plates
642
Plasma Wakefield Effects On High-current Relativistic Electron Beam Transport In The Ion Focus Regime
643
Plasma Walls Beyond the Perfect Absorber Approximation for Electrons
644
Plasma water treatment by electrical discharge methods
645
Plasma wave detectors for Terahertz wireless communication and fast imaging applications
646
Plasma wave electronics devices
647
Plasma wave electronics: novel terahertz devices using two dimensional electron fluid
648
Plasma wave electronics: terahertz detectors and sources using two dimensional electronic fluid in high electron mobility transistors
649
Plasma wave electronics: terahertz sources and detectors using two dimensional electronic fluid in high electron mobility transistors
650
Plasma wave FET for sub-wavelength THz imaging
651
Plasma Wave HEMTs for THz applications
652
Plasma wave terahertz electronics
653
Plasma wave terahertz electronics
654
Plasma wave wigglers for free-electron lasers
655
Plasma waveguide arrays from filament interaction in air
656
Plasma waves excitation in the base of lateral hot electron transistor
657
Plasma waves in graphene-based heterostructures and their terahertz device applications
658
Plasma waves related to mini-magnetospheres over lunar magnetic anomalies observed by LRS/WFC onboard KAGUYA
659
Plasma waves subterahertz optical beating detection and enhancement in long-channel high-electron-mobility transistors: experiments and modeling
660
Plasma welding project receives SERC grant
661
Plasma wound treatment: From physics to animal models
662
Plasma x-ray sources powered by megajoule magnetocumulative generators
663
Plasma–Catalytic Ceramic Membrane Reactor for Volatile Organic Compound Control
664
Plasma–Gas Flow Interaction of a Discharge Normal to a Bluff Body Wake
665
PLASMA: a FSM design kernel
666
PLASMA: A new routing paradigm for wireless multihop networks
667
Plasma: An FPGA for Million Gate Systems
668
Plasma: Shared Memory Dynamic Allocation and Bank-Conflict-Free Access in GPUs
669
Plasma-acoustic sources and their practical applications
670
Plasma-activated bonding, controlled cleave process, and non-contact smoothing for Germanium-on-Insulator (GeOI) manufacturing
671
Plasma-activated chemical vapor deposition of bismuth-substituted iron garnets for magneto-optical data storage
672
Plasma-Aided Coal Ignition and Combustion: Modeling and Full-Scale Trials
673
Plasma-aided manufacturing
674
Plasma-aided radiation guiding in a free-electron laser
675
Plasma-anode ion diode research at Cornell: Repetitive-pulse, and 0.1 TW single-pulse experiments
676
Plasma-anodized thin-film capacitors for integrated circuits
677
Plasma-armature railgun launcher simulations
678
Plasma-assisted activation of supported Au and Pd catalysts for CO oxidation
679
Plasma-Assisted Catalytic Oxidation for Nitrogen Oxides Abatement
680
Plasma-assisted catalytic removal of nitrogen oxides
681
Plasma-assisted CD shrink and overlay metrology techniques for double patterning
682
Plasma-assisted chemical process for NOx control
683
Plasma-assisted chemical reactor for NOx decomposition
684
Plasma-Assisted Combustion in a Coaxial Re-Entrant Microwave Cavity
685
Plasma-Assisted Combustion in a Miniature Microwave Plasma Torch Applicator
686
Plasma-assisted combustion in a miniature microwave plasma torch applicator
687
Plasma-Assisted Combustion of Gaseous Fuel in Supersonic Duct
688
Plasma-Assisted Combustion System Based on Nonsteady-State Gas-Discharge Plasma Torch
689
Plasma-Assisted Combustion System for Incineration of Oil Slimes
690
Plasmaassisted conversion of pyrogas
691
Plasma-assisted deposition of a three-layer structure by vacuum and gas arcs
692
Plasma-assisted Diesel Oxidation Catalyst: Laboratory and bench scale investigations for CO and HC light-off temperature and nox remediation
693
Plasma-assisted epitaxial growth of GaAs and GaSb layers in hydrogen plasma
694
Plasma-assisted etching for electron device fabrication
695
Plasma-assisted Grafting of Polyethylene Glycol (PEG) to Solid Substrates
696
Plasma-assisted ignition and combustion of methane-air mixtures using dielectric barrier discharge
697
Plasma-assisted InP-to-Si low temperature wafer bonding
698
Plasma-Assisted Local Control and Energy Management in a Scramjet Flowpat
699
Plasma-assisted MBE growth of InN film and InAlN/InN heterostructure
700
Plasma-assisted MBE growth of quaternary InAlGaN quantum well heterostructures with room temperature luminescence
701
Plasma-assisted MOCVD growth of GaMnN
702
Plasma-assisted printing and doping processes for manufacturing few-layer MoS2-based electronic and optoelectronic devices
703
Plasma-Assisted Reforming of Ethanol in Dynamic Plasma-Liquid System: Experiments and Modeling
704
Plasma-Assisted Reforming of Natural Gas for GTL—Part I
705
Plasma-Assisted Reforming of Natural Gas for GTL: Part II—Modeling of the Methane–Oxygen Reformer
706
Plasma-Assisted Reforming of Natural Gas for GTL: Part III—Gas Turbine Integrated GTL
707
Plasma-assisted syntheses of diamond films and nanotubes
708
Plasma-assisted wafer de-chucking (power-lift) process induced charging damage
709
Plasma-based accelerator diagnostics based upon longitudinal interferometry with ultrashort optical pulses
710
Plasma-based die singulation processing technology
711
Plasma-based ion implantation and deposition: a review of physics, technology, and applications
712
Plasma-based laser pulse control techniques for laser wakefield accelerators
713
Plasma-based lens for microwave beam steering
714
Plasma-based thrusters: Electrostatic and electromagnetic coupling
715
Plasma-beam interaction experiments at TIT
716
Plasma-beam processes in systems with plasma layers
717
Plasma-Beam-Hole Interaction
718
Plasma-catalyst interaction for removal of methane and propene in air at atmospheric pressure
719
Plasma-catalytic conversion of CO2 into value-added chemicals: Understanding the synergistic effect at low temperatures
720
Plasma-catalytic destruction of benzene in a hybrid surface/packed-bed discharge over AGxCE1−x/γ-AL2O3 catalyst
721
Plasma-catalytic dry reforming of methane over Al2O3 supported metal catalysts
722
Plasma-catalytic dry reforming of the CH4 in dielectric barrier discharge: Synergistic effect at low temperatures
723
Plasma-catalytic oxidation of diluted formaldehyde over Cu-Ce oxide catalysts
724
Plasma-catalytic reforming of ethanol
725
Plasma-cathode interaction in superdense glow discharges
726
Plasma-cavitation pencil cutter for powerful micro-processing
727
Plasma-cell-interaction: Expression of surface molecules on HaCaT keratinocytes after treatment with dielectric barrier discharge (DBD) plasma
728
Plasma-charging damage and ESD, help each other?
729
Plasma-charging damage of floating MIM capacitors
730
Plasma-charging effects on submicron MOS devices
731
Plasma-chemical degradation of Phtalocyanine complexes and slaughterhouse effluents
732
Plasma-chemical deposition of nitrides out of halogenides
733
Plasmachemical method of hydrogen production out of bioproducts and waste water
734
Plasmachemical Processing of Field Emission Cathodes Made of Carbon Fibers Bundles
735
Plasma-Chemical Processing of Silicon Substrates Using a Novel Arc Plasmatron
736
Plasma-chemical reactor with low ion energy for selective etching
737
Plasmachemistry Of The Beam-disturbed Ionosphere
738
Plasma-core induced selt-guiding of an ionizing ultrashort laser pulse in gases
739
Plasma-coupled bipolar linear image sensor
740
Plasma-damage optimization of the liner-removal process for 300 mm 0.13 μm copper dual-damascene BEOL manufacturing
741
Plasma-damaged oxide reliability study correlating both hot-carrier injection and time-dependent dielectric breakdown
742
Plasma-density determination from the phase shift in scattered radiation
743
Plasma-Dielectric Sandwich Structure Used as a Tunable Bandpass Microwave Filter (Short Paper)
744
Plasma-dielectric sandwich structure used as a tunable microwave filter
745
Plasma-Discharge Stabilization of Jet Diffusion Flames
746
Plasma-Driven Catalysis Process for Toluene Abatement: Effect of Water Vapor
747
Plasma-driven catalyst process for the decomposition of VOCs
748
Plasma-Driven System Circuit Design With Asymmetrical Pulsewidth Modulation Scheme
749
Plasma-Driven Water Assisted CO2 Methanation
750
PLASMADS: Smart mobiles meet intelligent environments
751
Plasmadynamic recombination laser
752
Plasma-Enhanced Atomic Layer Deposition of AlN Epitaxial Thin Film for AlN/GaN Heterostructure TFTs
753
Plasma-Enhanced Breakdown of Telemetry Antennas During Ballistic Missile Powered Flight
754
Plasma-Enhanced Chemical Vapor Deposition of Amorphous Fluorocarbon Polymer Films ( a -C:F) on Spherical Surfaces
755
Plasma-enhanced chemical vapor deposition of inorganic coatings on polymeric materials using organic precursors
756
Plasma-enhanced CVD low-k process enabling global planarity by controlling flowability
757
Plasma-Enhanced Ignition and Flame Stabilization in Microwave Plasma-Assisted Combustion of Premixed Methane/Oxygen/Argon Mixtures
758
Plasma-enhanced metal-organic chemical vapor deposition (PEMOCVD) of catalytic coatings for fuel cell reformers
759
Plasma-Enhanced Metalorganic Chemical Vapor Deposition of C-Axis Oriented and Epitaxial Films of ZnO at Low Substrate Temperatures
760
Plasma-enhanced photoemission detection: a new method for real-time surface monitoring during plasma processing
761
Plasma-enhanced polymer deposition in ambient environment conditions using dielectric barrier discharge plasma jet
762
Plasma-enhanced third harmonic generation of ultrafast pulses focused in a gas
763
Plasma-enhanced vacuum evaporation of perpendicular Co-Cr magnetic thin films
764
Plasma-erosion-enhanced neutron emission in fiber-generated dense Z-pinches
765
Plasma-etch technology
766
Plasma-etched nanofiber anisotropic conductive films (ACFs) for ultra fine pitch interconnection
767
Plasma-etching induced damage in thin oxide
768
Plasma-etching profile model for SiO2 contact holes
769
Plasma-etching technology with in situ etched-surface modification for highly reliable low-k/Cu dual damascene interconnects
770
Plasma-facing antennas: An overview
771
Plasma-filled dielectric Cherenkov maser
772
Plasma-filled diode for high dose-rate bremsstrahlung
773
Plasma-filled diode for high dose-rate bremsstrahlung
774
Plasma-filled diode power increase due to the growth of the current rise rate
775
Plasma-filled focusing cell for radiographic paraxial diodes on RITS
776
Plasma-filled focusing cells for paraxial diodes on RITS-3
777
Plasma-filled gyro-BWO
778
Plasma-filled Ion Diode Experiments On PBFA-II
779
Plasma-filled paraxial diode operation on RITS-3
780
Plasma-filled radial acceletron
781
Plasma-filled rod-pinch diode experiment on Gamble II
782
Plasma-filled rod-pinch diode experiment on Gamble II
783
Plasma-filled rod-pinch diode research on gamble II
784
Plasma-Filled Rod-Pinch Diode Research On Gamble II
785
Plasma-fluid behavior of a less divergent disk magnetohydrodynamic Generator using helium-cesium
786
Plasma-fluid interaction and arc resistance in electrothermal launchers
787
Plasma-Focused Cyclic Accelerators
788
Plasma-Generated Craters and Spherules
789
Plasma-Generated Multibubbles in Water and Their Dynamics
790
Plasma-hydrogenated low-threshold wide-band 1.3 mu m buried ridge structure laser
791
Plasma-immersion ion implantation of the interior surface of a small cylindrical bore using an auxiliary electrode for finite rise-time voltage pulses
792
Plasma-induced charge damage and its effect on reliability in 0.115-μm technology
793
Plasma-induced charging damage in ultrathin (3 nm) nitrided oxides
794
Plasma-induced charging damage in ultrathin (3-nm) gate oxides
795
Plasma-induced charging damage of a ferroelectric capacitor during interconnect metal etch
796
Plasma-induced charging damage to MOS capacitor structures in electron-cyclotron-resonance plasmas
797
Plasma-induced charging evaluation using SCA and PDM tools
798
Plasma-induced charging in two bit per cell SONOS memories
799
Plasma-induced charging reduction through ion-ion synchronous bias
800
Plasma-Induced Damage and Its Control in Plasma Etching Processes
801
Plasma-Induced Damage in High- k /Metal Gate Stack Dry Etch
802
Plasma-induced damage on sub-5 nm gate oxide by PECVD-Ti process
803
Plasma-Induced Deactivation Of P, B, Sb By Low-Energy (<30 eV) Ion Bombardment During Low-Temperature Silicon Epitaxy
804
Plasma-induced defect generation on silicon surfaces in HDP-CVD processing
805
Plasma-Induced Defect-Site Generation in Si Substrate and Its Impact on Performance Degradation in Scaled MOSFETs
806
Plasma-Induced Ethylene Ignition and Flameholding in Confined Supersonic Air Flow at Low Temperatures
807
Plasma-induced fluctuations in CO2lasers
808
Plasma-induced frequency shifts in microwave beams
809
Plasma-induced in-line charging and damage in non-volatile memory devices
810
Plasma-induced micro breakdown in small-area MOSFETs
811
Plasma-Induced Nitridation of the Gate Oxide Dielectrics: Linked Equipment-Feature-Atomic Scale Simulations
812
Plasma-induced Oxide Contamination In a 0.35 μm CMOS Process
813
Plasma-induced photon irradiation damage on low-k dielectrics enhanced by Cu-line layout
814
Plasma-induced polarity dependent hot-carrier response of CMOS devices across a wafer
815
Plasma-induced quantum well intermixing for monolithic photonic integration
816
Plasma-induced soliton self-frequency blueshift in gas-filled hollow-core PCFs
817
Plasma-induced-damage (PID) free 29A nitrided gate oxide of 130 nm CMOS devices for high performance microprocessor manufacturing
818
Plasma-ion beam treatment of Al for enhanced corrosion
819
Plasma-jet magneto-inertial fusion 1-D burn dynamics
820
PlasmaLab — Next generation plasma chambers for the International Space Station
821
Plasma-lens experiments at the Final Focus Test Beam
822
Plasma-material interaction in IR-T1 tokamak
823
Plasma-material interaction relevant to electric launcher technology
824
Plasma-mirror-cleaned high-contrast few-cycle relativistic-intensity light pulses
825
Plasma-modified interlayers applied to processing of polymer electronic materials
826
Plasma-Needle Treatment of Substrates With Respect to Wettability and Growth of Escherichia coli and Streptococcus mutans
827
Plasma-Nitrided Ga2O3(Gd2O3) as Interfacial Passivation Layer for InGaAs Metal–Oxide– Semiconductor Capacitor With HfTiON Gate Dielectric
828
Plasma-opening-switch-based repetitive generator
829
Plasma-parameter dependence of thin-oxide damage from wafer charging during electron-cyclotron-resonance plasma processing
830
Plasma-polymer interactions in a dielectric barrier discharge
831
Plasma-Pretreated Catalyst for Methanol Synthesis From Syngas
832
Plasma-probe self detection of resonance cones
833
Plasma-process induced damage on 65nm logic VLSI manufacturing
834
Plasma-produced erbia coatings for waste reduction in plutonium casting operations
835
Plasma-Profile Control Using External Circuit in a Capacitively Coupled Plasma Reactor
836
Plasma-propellant interaction at low plasma energies in ETC guns
837
Plasma-propellant interactions studies: measurements of heat flux produced by hydrocarbon ablation-supported plasmas
838
Plasma-puff triggering of plasma switch
839
Plasma-pulse-accelerator
840
Plasma-related characteristics of a steady-state glow discharge at atmospheric pressure
841
Plasma-Replacement Technology of ETC-Ignition of Powder Charges in High-Velocity Launchers
842
Plasma-resonant THz detection with HEMTs
843
Plasma-RX: Autonomous Rescue robots
844
Plasmas and Microwaves
845
Plasmas and pulsed power for biomedical applications
846
Plasmas at the supercollider
847
Plasmas created by electrical discharges in liquids and their applications to electrosurgery
848
Plasmas For Aerodynamic Drag Reduction
849
Plasmas for superconducting active antennas
850
Plasmas in MHD power generation
851
Plasmas in space
852
Plasmas In Space - Planetary Magnetospheres Investigated By Voyager
853
Plasmas in Tooth Root Canal
854
Plasmas produced in laser-heated foam
855
Plasma-sheath expansion during plasma immersion ion implantation of insulating materials
856
Plasma-sheath transition in the magnetized plasma-wall problem for collisionless ions
857
Plasma-shock interactions in a low pressure positive column
858
Plasmaspause dynamics and plasmaspheric outflows
859
Plasmaspheric Electron Content contribution inferred from ground and radio occultation derived Total Electron Content
860
Plasma-spray coating
861
Plasma-sprayed beryllium for ITER
862
Plasma-sprayed coatings for plasma-facing components
863
Plasma-sprayed MnZn ferrites with insulated fine grains and increased resistivity for high-frequency applications
864
Plasma-sprayed thick-film anisotropic magnetoresistive (AMR) sensors
865
Plasma-Stimulated Wound Healing
866
Plasma-Supported Coal Combustion in Boiler Furnace
867
Plasma-surface diagnostics in LAPPS
868
Plasma-surface interaction and surface diffusion during silicon-based thin-film growth
869
Plasma-surface interactions in electric launchers: progress on the TURBFIRE code
870
Plasma-Surface Interactions Induced by Pulsed Corona Discharge in Water
871
Plasma-surface interactions of nanoporous silica during plasma-based pattern transfer using C/sub 4/F/sub 8/ and C/sub 4/F/sub 8//Ar gas mixtures
872
Plasma-texturization for multicrystalline silicon solar cells
873
Plasma-to-flame transition at the AC driven filamentary discharge ignition
874
Plasma-Treated Biomaterials
875
Plasma-treated biomaterials
876
Plasma-treated switchable wettability of parylene-C surface
877
Plasmatron for simulation of re-entry conditions in a planetary atmosphere
878
Plasma-tunable metamaterials and periodic structures
879
Plasma-wall interaction in presence of intense electron emission from walls
880
Plasma-wall interaction in presence of intense electron emission from walls
881
Plasma-Wave Detectors for Terahertz Wireless Communication
882
Plasma-wave system for forming intense shock waves
883
Plasmid DNA computing model of 0–1 programming problem
884
Plasmochemical degradation of volatile organic compounds (VOC) in a capillary discharge plasma Reactor
885
Plasmodium parasite detection on Red Blood Cell image for the diagnosis of malaria using double thresholding
886
Plasmodium vivax classification from digitalization microscopic thick blood film using combination of second order statistical feature extraction and K-Nearest Neighbor (K-NN) classifier method
887
Plasmodium vivax segmentation using modified fuzzy divergence
888
Plasmodynamic effects for magnetically insulated systems
889
Plasmodynamic synthesis of nanodispersed crystalline phases of B-C-N system
890
Plasmoid Formation And Expansion In A Microwave Field
891
Plasmoid formation and multiple steady states in a low pressure, inductively coupled electronegative plasma
892
Plasmoid structure from MeV ion imaging
893
Plasmon analysis of systems of metallic nanorings by means of FEM-RBCI
894
Plasmon and grid resonances in the electromagnetic scattering by finite grids of silver nanowires
895
Plasmon and periodicity assisted scattering and absorption of light by finite nanowire gratings
896
Plasmon and structure resonances in the scattering of light by a periodic chain of silver nanocylinders
897
Plasmon condenser for optical far/near-field conversion
898
Plasmon coupled tip-enhanced near-field optical microscopy
899
Plasmon coupling in vertical split-ring resonator magnetic metamolecules
900
Plasmon damping in silver metallic particles
901
Plasmon drag effect in metal nanostructures
902
Plasmon dynamics in a metal nanoslit
903
Plasmon eignevalues as a function of nano-spheroids size and elongation
904
Plasmon enhanced colloidal nanocrystal composites incorporating Au nanoparticles in a repeating layered architecture
905
Plasmon enhanced cultivation of cyanobacteria for bioenergy
906
Plasmon enhanced detectors for smart lighting applications
907
Plasmon enhanced dye-sensitized solar cells using nanoparticles of different geometries and materials
908
Plasmon enhanced light trapping for thin film silicon solar cells application
909
Plasmon enhanced nanoscale trapping in a two dimensional optical lattice
910
Plasmon enhanced optical absorption in silicon nanohole arrays for thin film solar cells applications
911
Plasmon enhanced optical near-field probing of metal nano-aperture GaAs VCSEL
912
Plasmon enhanced photoluminescence of conjugated polymers using allsolution- process method
913
Plasmon Enhancement of Luminescence by Metal Nanoparticles
914
Plasmon enhancement of optical near-field of metal nanoaperture VCSEL
915
Plasmon enhancement of photoinduced resistivity changes in Bi1-xCaxMnO3 Thin Films
916
Plasmon Enhancements for FIR Detection
917
Plasmon excitation and induced emission with a plasmonic self-organized crystal
918
Plasmon excitation in array of adjoining metal nanorods: Field enhancement and optical sensing
919
Plasmon excitation of coherent interface phonons in Si-SiO2 systems
920
Plasmon excitation on a metal grating and its phase detection based biosensor application
921
Plasmon Hybridization and Dipolar Interaction on the Resonances of Helix Metamaterials
922
Plasmon hybridization enhances the transient absorption signal of a single nanoparticle
923
Plasmon imaging with sub-diffraction resolution
924
Plasmon induced light harvesting
925
Plasmon induced transparency in three dimensional metamaterial of upright magnetic meta-molecules
926
Plasmon induced transparency with asymmetric π-shaped metamaterials
927
Plasmon lasers: Development, features and applications
928
Plasmon light scattering of a single gold nanoparticle attached to a single mode optical fiber tip
929
Plasmon Mode Excitation on Graphene Layers via Obliquely-Incident Focused Wideband Pulses in Rigorous Time-Domain Algorithms
930
Plasmon Modes Hybridization Influence on Nano-Bio-Sensors Specification
931
Plasmon nano-antenna enhanced light emission from InP MQW — Towards faster LEDs
932
Plasmon nanolaser and circuit
933
Plasmon optical trapping in silicon nitride trench waveguide
934
Plasmon polariton transfer along a nano-dot coupler for optical far/near field conversion
935
Plasmon Polaritons in 2-D Nanoparticle Arrays
936
Plasmon Resonance Effects in GaAs/AlGaAs Heterojunction Devices: An Analysis Based on Spectral Element Simulation
937
Plasmon resonance in single- and double-layer CVD graphene nanoribbons
938
Plasmon resonance induced enhancement of reflection band in a one-dimensional metal nanocomposite photonic crystal
939
Plasmon resonance of the silver nanoparticles with different shape
940
Plasmon resonance tuning of gold and silver nanoparticle-insulator multilayered composite structures for optical filters
941
Plasmon resonance variation from strongly interacting gold nanorods
942
Plasmon resonance, periodical structures and absorption spectra induced by laser beam in composite waveguide AgCl-Ag films
943
Plasmon resonances and rectifying of terahertz radiation in GaN and InGaAs-based field-effect transistors
944
Plasmon Resonances and Their Quality Factors in a Finite Linear Chain of Coupled Metal Wires
945
Plasmon resonances in a gated two-dimensional electron system with lateral contacts
946
Plasmon resonances in linear array of coupled silver nanowires
947
Plasmon resonances in terahertz photoconductivity
948
Plasmon resonances in the H-wave scattering by a nanosize thin flat silver strip
949
Plasmon resonances in the scattering and absorption of light by a circular gold nanotube
950
Plasmon resonances of an infinite grating of silver wires coated with dielectric envelopes
951
Plasmon resonances of carbon-nanotube-based dipole antennas for nano-interconnects
952
Plasmon Resonances of Nanoshells of Spheroidal Shape
953
Plasmon resonances of strongly coupled nanodisks
954
Plasmon resonances of terahertz absorption in nano-patterned graphene
955
Plasmon resonances on gold nanowires directly drawn in step-index fiber
956
Plasmon stimulated emission in arrays of bimetallic stripes
957
Plasmon terahertz response of a slot diode with a two-dimensional electron channel
958
Plasmon terahertz response of submicron-gate high electron mobility transistor
959
Plasmon tomography images of two-dimensional periodic structures
960
Plasmon waveguide consisting of silver nanoshell nanocylinders
961
Plasmon waveguide for optical far/near-field conversion
962
Plasmon waveguide interband cascade lasers
963
Plasmon Waveguides on Silver Nanoplates
964
PLASMON: Data assimilation of the Earth´s plasmasphere
965
Plasmo-nano-devices
966
Plasmon-assisted double-gate field emitter arrays
967
Plasmon-assisted energy transfer near coated metal cylinders
968
Plasmon-assisted energy transfer near metal nanoparticles
969
Plasmon-assisted optoelectrofluidics
970
Plasmon-assisted photoemission from gold nanopillars in few-cycle laser fields
971
Plasmon-assisted power dissipation in GaN-based 2DEG channels for power HFETs
972
Plasmon-assisted scattering of light by a circular silver nanowire with concentric dielectric coating
973
Plasmon-assisted scattering of light by a discrete corner made of silver nanowires
974
Plasmon-assisted transmission of quantum entanglement
975
Plasmon-assisted two-slit transmission
976
Plasmon-based optical manipulation
977
Plasmon-controlled fluorescence: A new paradigm in fluorescence spectroscopy
978
Plasmon-decay model for origin of electromagnetic wave noises in the earthquakes
979
Plasmon-driven nondiffracting surface beaming
980
Plasmon-enhanced absorption and photocurrent in ultrathin GaAs solar cells with metallic nanostructures
981
Plasmon-Enhanced Emission From CMOS Compatible Si-LEDs With Gold Nanoparticles
982
Plasmon-enhanced energy transfer in a hybrid system using silver nanobox array geometries
983
Plasmon-enhanced high-order harmonic generation in the vicinity of metal nanostructures
984
Plasmon-enhanced isotropic structural coloration of metal films with homogenized Pinwheel nanoparticle arrays
985
Plasmon-enhanced light absorption in thin-film amorphous silicon solar cells
986
Plasmon-enhanced light absorption of silicon solar cells using Al nanoparticles
987
Plasmon-enhanced light emission from InGaN quantum wells using lithographically defined nanoparticle arrays
988
Plasmon-enhanced luminescence from silicon nanocrystals
989
Plasmon-enhanced luminescence in MEH-PPV coupled silver nanoantenna arrays and the potential for photovoltaics
990
Plasmon-enhanced non-radiative energy transfer in a hybrid quantum well structure
991
Plasmon-enhanced photoluminescence from metal nanostructures
992
Plasmon-enhanced photoluminescence from metal nanostructures
993
Plasmon-enhanced Raman spectroscopy: Towards hyperuniform ultrasensitive enhancement through hyperuniform disorder
994
Plasmon-enhanced single photon emission from a nano-assembled metal-diamond hybrid structure
995
Plasmon-enhanced terahertz near-field microscopy
996
Plasmon-enhanced third-order harmonic generation in plasmonic-organic photonic crystals
997
Plasmon-enhanced whispering gallery mode biosensing
998
Plasmon-exciton strong coupling in a hybrid system of gold nanostars and J-aggregates
999
Plasmonic 2D nanopillar arrays in high index and gradient index medium for subtractive optical filtering
1000
Plasmonic absorption enhancement in organic photovoltaics
بازگشت