<< مقالات لاتين فني مهندسي >>
<< بر اساس عنوان >>
1
Impact of Technology Scaling on Digital Subthreshold Circuits
2
Impact of technology scaling on electrical characteristics of through-silicon via correlated with equivalent circuits
3
Impact of Technology Scaling on Energy Aware Execution Cache-Based Microarchitectures
4
Impact of technology scaling on energy aware execution cache-based microarchitectures
5
Impact of Technology Scaling on ISFET Performance for Genetic Sequencing
6
Impact of technology scaling on leakage reduction techniques
7
Impact of technology scaling on metastability performance of CMOS synchronizing latches
8
Impact of technology scaling on performance of domino logic in nano-scale CMOS
9
Impact of technology scaling on RF CMOS
10
Impact of Technology Scaling on Second Generation Current Conveyors
11
Impact of Technology Scaling on SRAM Soft Error Rates
12
Impact of technology scaling on substrate noise generation mechanisms [mixed signal ICs]
13
Impact of technology scaling on the 1/f noise of thin and thick gate oxide deep submicron NMOS transistors
14
Impact of technology scaling on the combinational logic soft error rate
15
Impact of Technology Scaling on the Heavy-Ion Upset Cross Section of Multi-Level Floating Gate Cells
16
Impact of technology scaling on the input and output features of RF-MOSFETs: effects and modeling
17
Impact of technology scaling on the minimum energy point for FinFET based flip-flops
18
Impact of Technology Scaling on the Performance of All-Time-On Single-Ended CMOS Logic
19
Impact of technology scaling on the performance of domino CMOS logic
20
Impact of technology shrink on audio CODEC performance
21
Impact of technology sustainability on healthcare governance
22
Impact of technology trends on SEU in CMOS SRAMs
23
Impact of technology-mediated learning on outcomes: Students´ perspective
24
Impact of telecentres on Sri Lankan society
25
Impact of telematic technologies on image-guided medicine
26
Impact of Temperature and Indium Composition in the Channel on the Microwave Performance of Single-Gate and Double-Gate InAlAs/InGaAs HEMT
27
Impact of Temperature and Vcc Variation on 20nm Kintex UltraScale FPGAs Neutron Soft Error Rate
28
Impact of temperature cycle profile on fatigue life of solder joints
29
Impact of temperature cycling on copper interconnect
30
Impact of temperature fluctuations on circuit characteristics in 180nm and 65nm CMOS technologies
31
Impact of temperature on electromigration lifetime extrapolation
32
Impact of temperature on hard disk drive reliability in large datacenters
33
Impact of temperature on negative capacitance field-effect transistor
34
Impact of temperature on substrate coupling in low-doped substrate
35
Impact of temperature on surface-trap-induced gate-lag effects in GaAs heterostructure FETs
36
Impact of Temperature on Test Quality
37
Impact of temperature on the efficiency of double-conversion UPS
38
Impact of temperature on the frequency domain dielectric spectroscopy for the diagnosis of power transformer insulation
39
Impact of temperature on the impedance of microwave and RF PIN diodes
40
Impact of Temperature on the Resistive Switching Behavior of Embedded $\hbox{HfO}_{2}$-Based RRAM Devices
41
Impact of temperature transients on the measured transmission properties of optical fibres
42
Impact of temperature variation and oxide thickness variation on the performance of CNTFET based inverter in nanometer regime
43
Impact of temperature variation on CNTFET device characteristics
44
Impact of temperature variation on the energy resolution of 3D position sensitive CZT gamma- ray spectrometers
45
Impact of Temperature Variations on the Device and Circuit Performance of Tunnel FET: A Simulation Study
46
Impact of temperature-accelerated voltage stress on PMOS RF performance
47
Impact of temperature-dependent die warpage on TIM1 thermal resistance in field conditions
48
Impact of Temporal and Spatial Application Modeling on Event-Triggered Wireless Sensor Network Evaluation
49
Impact of Temporal Autocorrelation Mismatch on the Assimilation of Satellite-Derived Surface Soil Moisture Retrievals
50
Impact of temporal coherence-based Tone Mapping on video compression
51
Impact of Temporal Interleaving of Polarization Tributaries Onto 100-Gb/s Coherent Transmission Systems With RZ Pulse Carving
52
Impact of temporal resolution on flow quantification by real-time 3D color Doppler echocardiography: numerical modeling and animal validation study
53
Impact of temporal resolution on LV myocardial regional strain assessment with real-time 3D ultrasound
54
Impact of temporal transistor variations on circuit reliability
55
Impact of Tensile and Compressive Stress on Classical and Acoustic Barkhausen Effects in Grain-Oriented Electrical Steel
56
Impact of terahertz radiation on cells
57
Impact of terminal multi-antenna spacing on spatial variation of performance in distributed antenna systems
58
Impact of terrain topography on retrieval of snow water equivalence using passive microwave remote sensing
59
Impact of tertiary studies on development of students´ generic competencies
60
Impact of test area in antenna gain measurement using image theory
61
Impact of test compression on power supply noise control
62
Impact of test point insertion on silicon area and timing during layout
63
Impact of test structure design on electromigration lifetime measurements
64
Impact of test structure design on electromigration of metal interconnect
65
Impact of testability standards on university research and instruction
66
Impact of test-fixture forward coupling on on-wafer silicon device measurements
67
Impact of test-structure design and test methods for electromigration testing
68
Impact of Texture Roughness on the Front-Side Metallization of Stencil-Printed Silicon Solar Cells
69
Impact of TF Magnet Mass Flow on Conductor Margin and on Cryogenic System of JT-60SA
70
Impact of TFET Unidirectionality and Ambipolarity on the Performance of 6T SRAM Cells
71
Impact of T-gate stem height on parasitic gate delay time in InGaAs-HEMTs
72
Impact of Thatcher effect, Double Illusion and Inversion on face recognition
73
Impact of the "EMF" controversy on emerging technologies: good or bad
74
Impact of the 17TH edition from a designers point of view
75
Impact of the 17th edition from a designers point of view
76
Impact of the 17TH edition from a designers point of view
77
Impact of the 17th edition of the wiring regulations
78
Impact of the 17th edition of the wiring regulations How it affects the commercial customer
79
Impact of the 17th edition of the wiring regulations How it affects the commercial customer
80
Impact of the 2001 World Trade Center attack on critical interdependent infrastructures
81
Impact of the 2008 ice storm on China´s forests
82
Impact of the 2010 Icelandic Eyjafjallajökull volcanic eruptions on the GPS signals
83
Impact of the a priori channel state information on the performance of OFDM systems
84
Impact of the absorption in transmittance and reflectance on macroporous silicon photonic crystals
85
Impact of the Acquisition Searching Strategy on the Detection and False Alarm Probabilities in a CDMA Receiver
86
Impact of the active management and active control on the survivability of distribution network structure
87
Impact of the actuator failures on the structural controllability of linear systems: A graph theoretical approach
88
Impact of the addition of a rotor-mounted damper bar cage on the performance of samarium-cobalt permanent magnet brushless DC motor systems
89
Impact of the aeronautic environment on the Partial Discharges Ignition: A basic study
90
Impact of the ageing of supercapacitors in power cycling on the behaviour of hybrid electric vehicles applications
91
Impact of the amplifier-BandPass reconstruction filter interaction on the linearity of carrier amplitude-burst transmitters
92
Impact of the Angle of External Flow on the Performance of Counterflow Thrust Vectoring Nozzle
93
Impact of the Angular Velocity on the Signals Spectrum and Performance of Antenna-Array Receivers
94
Impact of the anode current of an IGBT on the gate voltage
95
Impact of the Antenna Array on the Uplink Synchronous Orthogonal Multicarrier DS-CDMA RAKE System in Dispersive Fading Channels
96
Impact of the antenna bandwidth in the imaging speed of kinetic inductance detectors focal plane arrays
97
Impact of the antenna directivity on path loss for different propagation environments
98
Impact of the antenna impulse response on accuracy of impulse-based localization systems
99
Impact of the antenna offset and the number of frequencies on layered media reconstruction using full-wave inversion in near-field conditions
100
Impact of the antenna set-up and arrays on mobile radio systems
101
Impact of the antenna shuffling in V-BLAST system using NAK for H-ARQ in correlated fading channels
102
Impact of the antenna stability on the Doppler Centroid frequency
103
Impact of the antenna technology and the antenna parameters on the performance of MIMO systems
104
Impact of the anti-aliasing pre-filtering on the measurement of maximum time interval error
105
Impact of the application activity on intermittent faults in embedded systems
106
Impact of the approximated on-line centering and whitening in OL-JADE on the quality of the estimated fetal ECG
107
Impact of the ASCII code and printing devices on conventions for alphanumeric display terminals: Part 1
108
Impact of the ASCII code and printing devices on conventions for alphanumeric display terminals: Part II
109
Impact of the assembly constraints on a multi-air gap linear motor
110
Impact of the ATLAS muon spectrometer alignment system on tracking at the CERN H8 testbeam
111
Impact of the Atmosphere on the Signal Phase and the Channel Capacity in EHF MIMO Satellite Links
112
Impact of the atmospheric transmittance and total water vapor content in the algorithms for estimating satellite sea surface temperatures
113
Impact of the atomic energy program on the electrical industry
114
Impact of the auroral ionosphere on HF radio propagation
115
Impact of the average network delay on the operator capacity for FANETs
116
Impact of the back gate effect on bipolar junction transistors in smart power SOI technologies
117
Impact of the Back-Gate Biasing on Trigate MOSFET Electron Mobility
118
Impact of the backside potential on the current collapse of GaN SBDs and HEMTs
119
Impact of the Band Offset for n-Zn(O,S)/p-Cu(In,Ga)Se _{2} Solar Cells
120
Impact of the band selector detuning on DD multi-band OFDM virtual-carrier assisted metro network performance
121
Impact of the barrier/dielectric interface quality on reliability of Cu porous-low-k interconnects
122
Impact of the base station antenna beamwidth on capacity in WCDMA cellular networks
123
Impact of the battery depth of discharge on the performance of photovoltaic hydrogen production unit with energy management strategy
124
Impact of the beat noise on the performance of 2-D optical CDMA systems
125
Impact of the bias on the temperature of silicon solar cells under operating conditions
126
Impact of the Border Crossing Effects on the DCNU for Pixels Arrays Irradiated with High Energy Protons
127
Impact of the boundary effect and the anticipated channel release strategy in mobile microcellular networks
128
Impact of the Brazilian renewable energy policies on the electrical energy crisis
129
Impact of the breakpoint distance on the reverse link capacity of a CDMA Manhattan like microcellular system
130
Impact of the buffer structure on trapping characteristics of normally-off p-GaN/AlGaN/GaN HEMTs for power switching applications
131
Impact of the business innovation strategy on new product development success measurement
132
Impact of the Busy-Line Effect on the Interservice Time Distribution and Modeling of Portable Movements in PCS Networks
133
Impact of the carrier distribution function on hot-carrier degradation modeling
134
Impact of the Casimir force on movable-dielectric RF MEMS varactors
135
Impact of the cathode material on the analytical glow discharge
136
Impact of the CDM tester ground plane capacitance on the DUT stress level
137
Impact of the cell size and the propagation model parameters on the performance of microcellular networks
138
Impact of the cell size on the cell´s Erlang capacity and call admission control in the DS/CDMA cellular networks
139
Impact of the cell update mechanism in GPRS
140
Impact of the central pacific zonal wind on the central pacific pattern El nino event
141
Impact of the Change of the Propagation Coefficient at the Break Point on Fixed Channel Assignment for GSM Networks
142
Impact of the channel count on the nonlinear tolerance in coherently-detected POLMUX-QPSK modulation
143
Impact of the channel estimation error on the array processing based decoder for QO-STBC
144
Impact of the channel estimation onto the BER-performance of PSAM-OFDM systems in mobile radio channels
145
Impact of the Channel State Information on the Energy-Efficiency of MIMO Communications
146
Impact of the channel thickness on the performance of ultrathin InGaAs channel MOSFET devices
147
Impact of the Channel Time-Selectivity on BER Performance of Broadband Analog Network Coding with Two-Slot Channel Estimation
148
Impact of the charge transport in the conduction band on the retention of Si-nitride based memories
149
Impact of the choice of functional regions in targeted fully 3D SPECT reconstruction
150
Impact of the CMP Process on the Multilevel Stack Mechanical Reliability
151
Impact of the collector region fabrication on electrical characteristics of HCBT structures in 180 nm BiCMOS technology
152
Impact of the Columbia supercomputer on NASA space and exploration missions
153
Impact of the Combined Effect of RIN and Intermodulation Distortion on OSSB/SCM Systems
154
Impact of the Combined Integration of Wind Generation and Small Hydropower Plants on the System Reliability
155
Impact of the combined slow and fast fading channel characteristics on the symbol error probability for multipath dispersionless channel characterized by a small number of dominant paths
156
Impact of the Competitive Environment on the Generating Units Maintenance
157
Impact of the computational boundary on the coupled thermal and electrical analysis of Si devices
158
Impact of the computer developments on the education of engineering graduates in electrical machines and drives
159
Impact of the Concerns over Exogenous Factors towards the Insurance Purchase: A Study in Hong Kong Insurance Market
160
Impact of the condition of oil on the polarisation based diagnostics for assessing the condition of transformers insulation
161
Impact of the Configuration of Ground Vias on the Performance of Vertical Transitions Used in Electronic Packages
162
Impact of the Connection Admission Process on the Direct Retry Load Balancing Algorithm in Cellular Networks
163
Impact of the consistency of food substances on the health of residents in welfare facilities for seniors
164
Impact of the Contact on Electrical Systems
165
Impact of the content on subjective evaluation of audiovisual quality: What dimensions influence our perception?
166
Impact of the control-channel transmission rate in a multi-channel wireless network
167
Impact of the correlation between forward and backscatter channels on RFID system performance
168
Impact of the coulomb interaction on the Franz-Keldysh effect in a high-current photodetector
169
Impact of the Creation of the Mozilla Foundation in the Activity of Developers
170
Impact of the Cu-poor to Cu-rich transition speed and Mo back contact porosity on the electrical and structural properties of CIGS-based solar cells
171
Impact of the current and the temperature variation on the Ni-Cd battery functioning
172
Impact of the current density increase on reliability in scaled BJT-selected PCM for high-density applications
173
Impact of the current global revolution in communication systems and networks on the social and economic lives in the Middle East
174
Impact of the DC technology on transmission grids
175
Impact of the delay of subcontracting in a context of integrated maintenance: analytical approach
176
Impact of the DES and AES Algorithms on PERS (A Specific Processor for Sensor Networks)
177
Impact of the design of an UWB antenna on the maximum achievable rate of the communication in presence of multi user interferences
178
Impact of the design parameters uncertainty on the PC-white LED color constancy based on the theory of the uncertainty
179
Impact of the detector parameters on a Compton camera
180
Impact of the development of Internet on EDI
181
Impact of the development on the economics of developing countries inforamtion technology towards improving supply chain management in indian economy
182
Impact of the diameter of vertical nanowire-tunnel FETs with Si and SiGe source composition on analog parameters
183
Impact of the die attach process on power & thermal cycling for a discrete style semiconductor package
184
Impact of the different nature of interface defect states on the NBTI and 1/f noise of high-k / metal gate pMOSFETs between (100) and (110) crystal orientations
185
Impact of the dihedral angle of switched beam antennas in indoor positioning based on RSSI
186
Impact of the dihedral angle of Switched Beam Antennas in indoor positioning based on RSSI
187
Impact of the Dimension of the Observation Space on the Decision Thresholds for GLRT Detectors in Spectrum Sensing
188
Impact of the direct sequence spread spectrum signals from the global satellite navigation system GLONASS on radio astronomy: problem and proposed solution
189
Impact of the dispersion map on long-haul 40 Gbit/s single-channel soliton transmission with periodic dispersion compensation
190
Impact of the dispersion-induced power fading on the fiber transmission performance of OFDM-UWB radio signals
191
Impact of the distributed photovoltaic on the current protection of 10kV distribution network
192
Impact of the divergence factor on accurate RCS calculation using shooting and bouncing rays
193
Impact of the Doppler modulation on the range and Doppler processing in OFDM radar
194
Impact of the DPSK-OCDM modulation system on optical packet switching performance
195
Impact of the drift region profile on performance and reliability of RF-LDMOS transistors
196
Impact of the dual-frequency scatterometer on NOAA operations
197
Impact of the dynamic relation between lakes and rivers on water resource security in Jianghan Plain region of Hubei province
198
Impact of the EHV Power System on Geomagnetically Induced Currents in the UHV Power System
199
Impact of the Electric Field Distribution on the Generation Characteristics of Vacuum-Arc Discharge Plasmas
200
Impact of the electricity contracts on the generators´ strategic behavior
201
Impact of the electrode material and shape on performance of intrinsically tunable ferroelectric FBARs
202
Impact of the electrodes on the tunability of paraelectric BST film based FBARs
203
Impact of the electronic architecture of optical slot switching nodes on latency in ring networks
204
Impact of the electronic distribution function on the copper vapor laser kinetic
205
Impact of the Electro-Optical Modulator on CAPS Code Dispersion Tolerance
206
Impact of the elevation angle in the coherence time as a function of the sea wave height
207
Impact of the emitter length scaling on electrical characteristics of horizontal current bipolar transistor with single polysilicon region
208
Impact of the emitter stored charge on RF noise of junction bipolar transistors
209
Impact of the energy detection threshold on performance of the IEEE 802.15.6 CSMA/CA
210
Impact of the enforcement of a time-of-use tariff to residential customers in Italy
211
Impact of the Estimation Errors and Doppler Effect on the Modulation Diversity Technique
212
Impact of the EU ETS on the European electricity sector
213
Impact of the evolution of the metropolitan network on the DSL access architecture
214
Impact of the Exciter Voltage Limit to Power System Small Signal Stability Region
215
Impact of the execution context on Grid job performances
216
Impact of the extinction ratio on the BER performance in directly detected OFDM systems
217
Impact of the Fano Factor on Position and Energy Estimation in Scintillation Detectors
218
Impact of the Faraday rotation on the SMOS measurements over the dome C test site: A semi-empirical study
219
Impact of the feed concentration on the permeate flux of the solar vacuum membrane distillation equipped with helically coiled fibers
220
Impact of the fiber type and dispersion management on the performance of an NRZ 16 × 40 Gb/s DWDM transmission system
221
Impact of the field weakening on the iron losses in the stator of an internal permanent magnet synchronous machine
222
Impact of the filmless hospital
223
Impact of the First Powering Cycles on the LHC Superconducting Dipole Coil Geometry
224
Impact of the fitness between business strategy and HRM system on sustained competitive advantage
225
Impact of the flexible spectrum aggregation schemes on the cost of future mobile network
226
Impact of the forward bias on the radiative recombination efficiency in blue (In,Ga)N/GaN quantum-well diodes with an electron reservoir layer
227
Impact of the Frequency of Technician Visits On Facility Failure Rate
228
Impact of the fringe washing function on the spatial resolution and on the radiometric sensitivity of the SMOS instrument
229
Impact of the front metal layout on the fill factor of GaSb-based photovoltaics grown on n-type substrate
230
Impact of the Furnace Nitridation Temperature in N2O Ambient on the Quality of the Si/SiO2 System
231
Impact of the gain saturation dynamics in semiconductor optical amplifiers on the characteristics of an analog optical link
232
Impact of the gate stack on the electrical performances of 3D multi-channel MOSFET (MCFET) on SOI
233
Impact of the gate-drain overlapped device (GOLD) for deep submicrometer VLSI
234
Impact of the gate-stack change from 40nm node SiON to 28nm High-K Metal Gate on the Hot-Carrier and Bias Temperature damage
235
Impact of the gate-to-body tunneling current on SOI history effect
236
Impact of the Ge Content on the Bandgap-Narrowing Induced Leakage Current of Recessed \\hbox {Si}_{1 - x}\\hbox {Ge}_{x} Source/Drain Junctions
237
Impact of the Geometrical and Optical Parameters on the Performance of a Cylindrical Remote Phosphor LED
238
Impact of the geometry, path-loss exponent and random shadowing on the mean interference factor in wireless cellular networks
239
Impact of the German incentive regulation for cost analysis based on ageing models for 110-kV circuit-breakers
240
Impact of the German nuclear phase-out on Europe´s electricity generation
241
Impact of the German regulatory framework on investment incentives
242
Impact of the gradient of the non-equilibrium point defect concentration on the dopant flux in silicon
243
Impact of the ground plane topology on the performances of a pyramidal multiband quadri-element antenna
244
Impact of the group delay on BOC(M,N) tracking: Potential filter issues in robust side-lobe switching for high-order BOC modulations
245
Impact of the hand on the energy absorbed in the head when using a mobile phone
246
Impact of the handset form factor on inverted-F antennas performance
247
Impact of the high vertical electric field on low-frequency noise in thin-gate oxide MOSFETs
248
Impact of the hollow microspheres´ filling degree on the electrical dc breakdown field strength of syntactic foam
249
Impact of the host operating systems on virtual machine performance
250
Impact of the human motion on the variance of the received signal strength of wireless links
251
Impact of the human walking motion on BAN diversity effects
252
Impact of the Hybrid (DVB-H/UMTS) Network Structure on the Electromagnetic Exposure
253
Impact of the Hybrid Multilevel Modulation Strategy on the Semiconductors Power Losses
254
Impact of the hydroelectric peak load on water levels downstream of Aswan Old Dam and establishing new criteria for navigation
255
Impact of the IEEE 802.11aa intra-AC prioritization on QoE for H.264 compression
256
Impact of the IEEE 802.11n frame aggregation mechanisms on video streaming quality
257
Impact of the Inaccuracy of Distance Prediction Algorithms on Internet Applications - an Analytical and Comparative Study
258
Impact of the inclusion of hydrotalcite on the morphological and electrical characteristics of an epoxy-based CNT nanocomposite
259
Impact of the Inductive FCL on the Interrupting Characteristics of High-Voltage CBs During Out-of-Phase Faults
260
Impact of the information systems service quality on performance of IT sector organizations in sri lanka
261
Impact of the inhomogeneous structure of the active layer on the transfer characteristic of polysilicon TFT´s
262
Impact of the initial stage of deposition conditions on the properties of subsequent GaN Layer
263
Impact of the in-line dispersion-compensation map on four-wave mixing (FWM)-impaired optical networks
264
Impact of the Input Pulse Width on the Performance of a 10 Gb/s SOA-DI Wavelength Converter
265
Impact of the Insertion of Modern Information and Communication Technologies in Brazilian Rural Communities
266
Impact of the integration of renewable energies on the reactive power demand in the German transmission grid
267
Impact of the intelligent network on the capacity of network elements
268
Impact of the interaction between nitrogen implant and NO anneal on narrow-width transistors
269
Impact of the interfacial layer on the low-frequency noise (1/f) behavior of MOSFETs with advanced gate stacks
270
Impact of the interplay between nonlinear and PDL effects on Q-factor distribution for polarization multiplexed systems
271
Impact of the Inter-Relay Handoff on the Relaying System Performance
272
Impact of the interruptions of long and short duration in the value of the Pst indicator
273
Impact of the Introduction of Diffuse Scattering on Radio Channel Parameters in Urban Environments
274
Impact of the Introduction of Securities Margin Trade on Stock Abnormal Returns
275
Impact of the ionization profile on the time- and position-resolution in Multi-Linear Silicon Drift Detectors
276
Impact of the ionosphere on an L-band space based radar
277
Impact of the ionospheric and atmospheric irregularities on the navigational satellites signals propagation
278
Impact of the joint detection-estimation approach on random effects group studies in FMRI
279
Impact of the key frames quality on the overall Wyner-Ziv video coding performance
280
Impact of the LAD process on CIGS thin films and solar cells
281
Impact of the laser-processed X´tal cube detector with 1 mm isotropic resolution in PET imaging
282
Impact of the latency in vehicular networks: Analysis on the reaction distance
283
Impact of the lateral source/drain abruptness on MOSFET characteristics and transport properties
284
Impact of the leadframe profile on the occurrence of passivation cracks of plastic-encapsulated electronic power devices
285
Impact of the LED chips placement and heat sink design on the multi-chip LED bump performance by the thermal and optical simulation
286
Impact of the LHC beam abort kicker prefire on high luminosity insertion and CMS detector performance
287
Impact of the LiF/Al/Au and stacked phase tuning layers on the viewing angle of high contrast-ratio tandem organic light emitting devices
288
Impact of the light detection chain on the NEC in a full-body PET scanner
289
Impact of the limitations of transmission line capacity on pricing
290
Impact of the Lips for Biometrics
291
Impact of the load in the detection of bearing faults by using the stator current in PMSM´s
292
Impact of the Local Oscillator calibration on the SMOS sea surface Salinity maps
293
Impact of the Local Oscillator Calibration Rate on the SMOS Measurements and Retrieved Salinities
294
Impact of the Local Oscillator on Baseband Processing in RFID Transponder
295
Impact of the location area structure on the performance of signaling channels in wireless cellular networks
296
Impact of the location area structure on the performance of signaling channels of cellular wireless networks
297
Impact of the Low-frequency Interharmonics on the Transformation Behavior of Current Transformers
298
Impact of the LSI on High-Speed Computer Packaging
299
Impact of the magnetic cross-saturation in a sensorless Direct Torque controlled Synchronous Reluctance Machine based on test voltage signal injections
300
Impact of the mapping strategy on the performance of APP decoded space-time block codes
301
Impact of the maritime environment on the aging of optical fibers
302
Impact of the MC-CDMA physical layer algorithms on the downlink capacity in a multi-cellular environment
303
Impact of the Memory Hierarchy on Shared Memory Architectures in Multicore Programming Models
304
Impact of the minority carrier outflow (MCO) effect on the /spl alpha/-particle-induced soft error of scaled DRAMs
305
Impact of the mobile antenna inclination on the polarisation diversity gain in a DCS 1800 network
306
Impact of the mobile GSM-F, digital radio and satellite technologies in rural communications for the next generations
307
Impact of the Model on the Accuracy of Synchrophasor Measurement
308
Impact of the modularity on the efficiency of Smart Transformer solutions
309
Impact of the Modulation Chirp of a DEMZM on the Transmission of Signals Based on OFDM
310
Impact of the modulation depth on self-homodyne optical single sideband systems
311
Impact of the modulation instability on supercontinuum generation
312
Impact of the modulation instability on supercontinuum generation
313
Impact of the modulation strategy on the dimensioning of three-phase Z-source inverters
314
Impact of the motion compensation step in wavelet-based blotch detection in old movies
315
Impact of the motor magnetic model on direct flux vector control of interior PM motors
316
Impact of the MRI-based Navigation System Constraints on the Step Response Using a PID Controller
317
Impact of the multiple access scheme on optimal site positioning
318
Impact of the nanotube diameter on the performance of CNFETs
319
Impact of the needle winding technology on the operational behavior of an asynchronous machine
320
Impact of the Network Environment on a Common Operating Environment
321
Impact of the new Gabl El-Zite wind farm addition on the Egyptian power system stability
322
Impact of the new learning environment on traditional engineering courses
323
Impact of the new microprocessors on management structures
324
Impact of the noise level on the route discovery mechanism in noisy MANETs
325
Impact of the non-ideal temperature dependence of IC-VBE on ultra-wide temperature range SiGe HBT bandgap reference circuits
326
Impact of the Normal Zone Propagation Velocity of High-Temperature Superconducting Coated Conductors on Resistive Fault Current Limiters
327
Impact of the number of access points in indoor fingerprinting localization
328
Impact of the number of angles and levels on harmonic mitigation in multilevel converters
329
Impact of the number of antennas and distances among users on cognitive radio networks
330
Impact of the number of ISM-band ad hoc relay channels on the performance of iCAR systems
331
Impact of the number of levels on the performance of multilevel LINC transmitters
332
Impact of the number of sensors on the network cost and accuracy of the radio environment map
333
Impact of the Number of users on Error Probabilities in Multiuser Diversity Systems
334
Impact of the observation geometry on the GNSS-R direct descriptors used for sea state monitoring
335
Impact of the ocean acoustic transfer function on the coherence of undersea propagations
336
Impact of the ocean acoustic transfer function on the coherence of undersea propagations
337
Impact of the oil humidity on the AC and LI flashover strength of epoxy resin spacers in transformer oil
338
Impact of the oil-bearing Monterey Formation on undiscovered resources of offshor California
339
Impact of the on-chip and off-chip ESD protection network on transient-induced latch-up in CMOS IC
340
Impact of the operating voltage on high power solar arrays
341
Impact of the optical intensity beam profile on received power in conditions of beam wandering
342
Impact of the optical routing on the transmission in transparent networks
343
Impact of the Order of Cavity Elements in All-Normal Dispersion Ring Fiber Lasers
344
Impact of the Order of Redundancy Relation in Robust Fault Detection of Robotic Systems
345
Impact of the Oxide-Aperture Diameter on the Energy Efficiency, Bandwidth, and Temperature Stability of 980-nm VCSELs
346
Impact of the packaging structural geometry on the conformal coating LED performance by optical simulation
347
Impact of the packaging technology on a SiGe:C Ku-band low noise converter for satellite reception
348
Impact of the parallel imaging reconstruction algorithm on brain activity detection in fMRI
349
Impact of the parasitic capacitances with the change of distance between gates of the split-gate VDMOS
350
Impact of the path loss model on the spatial structure of shadow fading
351
Impact of the pattern layout on radio-frequency performance of thin-film SOI power MOSFETs
352
Impact of the PCB design on the crack risk of CSP assemblies subjected to temperature cycling and drop tests
353
Impact of the penetration of electric vehicles on the Italian power system: A 2030 scenario
354
Impact of the penetration of wind power on the Libyan power system
355
Impact of the phase noise generated from semiconductor optical amplifiers on the size of optical space switches
356
Impact of the phase positions on the electric and magnetic field of high-voltage overhead lines
357
Impact of the Physical Layout of High-Current Rectifiers on Current Division and Magnetic Field Using PEEC Method
358
Impact of the Pilot Signal per Beam on the Ideal Number of Beams and Capacity Gain of Switched Beam Forming for WCDMA
359
Impact of the plus energy buildings on the voltage profile of the distribution networks
360
Impact of the point of operation on sensorless control of induction motors based on the INFORM method
361
Impact of the polarization mode dispersion on a field demonstration of 40 Gbit/s soliton transmission over 500 km
362
Impact of the polymerization process on the electrical behavior of different impregnation varnishes
363
Impact of the POSSE program on the academic integration of minority engineering students
364
Impact of the power delay profile shape on the bit error rate in mobile radio systems
365
Impact of the power of the steering directions on the asymptotic capacity of MIMO channels
366
Impact of the power supply on the ESD system level robustness
367
Impact of the Pre-Authentication Performance in Vehicular Networks
368
Impact of the Predicted Protein Structural Content on Prediction of Structural Classes for the Twilight Zone Proteins
369
Impact of the preheating temperature on the ZnO-TFT characteristics prepared by a sol-gel method
370
Impact of the Primary Network Activity on the Maximum Achievable Capacity of DS-CDMA/OFDM Spectrum Sharing
371
Impact of the primary resource occupancy information on the performance of cognitive radio networks with VoIP traffic
372
Impact of the propagation environment on the performance of space-frequency coded MIMO-OFDM
373
Impact of the proposed tidal power generation on the reduction of acute load shedding of Bangladesh power system and its financial viability analysis
374
Impact of the pulse-amplifier slew-rate on the pulsed-IV measurement of GaN HEMTs
375
Impact of the quality of random numbers generators on the performance of particle swarm optimization
376
Impact of the Quantum Well Gain-to-Cavity Etalon Wavelength Offset on the High Temperature Performance of High Bit Rate 980-nm VCSELs
377
Impact of the R=2.14 m FIRE design and AT scenarios on the TF and PF magnet design
378
Impact of the Radial Ionization Profile on SEE Prediction for SOI Transistors and SRAMs Beyond the 32-nm Technological Node
379
Impact of the radiation environment on integrated-circuit technology
380
Impact of the radio channel on the performance of digital mobile communication systems
381
Impact of the Random Number generator quality on particle swarm optimization algorithm running on graphic processor units
382
Impact of the range and geometry estimation in the accuracy of the passive TDOA algorithm
383
Impact of the reach distance of WDM systems on the cost of translucent optical transport networks
384
Impact of the reach of WDM systems and traffic volume on the network resources and cost of translucent optical transport networks
385
Impact of the Receive Antenna Arrays on Spatio-Temporal Availability in Satellite-to-Indoor Broadcasting
386
Impact of the recent economic crisis on the ICT industry: Research of Croatian companies
387
Impact of the reduction of the gate to drain capacitance on low voltage operated CMOS devices
388
Impact of the renewable energy generation on the Iberian electricity market
389
Impact of the representation of the upstream network on the energization of a 340 MVA transformer: Modelling and its validation by on site tests
390
Impact of the research community for the field of software configuration management
391
Impact of the residual disorder on the slow light regime in 1D and 2D photonic crystals structures
392
Impact of the residual facet reflectivity on beam profile filamentation in semiconductor laser amplifiers
393
Impact of the Residual Resistivity Ratio on the Stability of {\\rm Nb}_{3}{\\rm Sn} Magnets
394
Impact of the Resolution on the Difference of Perceptual Video Quality Between CRT and LCD
395
Impact of the Risk Based Capital implementation: A case study on an insurance company in Malaysia
396
Impact of the rotor back-iron resistivity on the rotor eddy-current losses in fractional-slot concentrated windings PM machines
397
Impact of the rotor yoke geometry on rotor losses in permanent magnet machines
398
Impact of the Rotor Yoke Geometry on Rotor Losses in Permanent-Magnet Machines
399
Impact of the S/D extension doping profile on the /spl Psi/-cell concept
400
Impact of the sampling theorem interpretations on digitization and reconstruction in SDRs and CRs
401
Impact of the Scalar Approximation on the Prediction of the Group Velocity Dispersion
402
Impact of the scattering coefficient of scintillation crystals (LYSO and LSO) on depth of interaction resolution
403
Impact of the Scattering Phase Function Formulation of Cirrus Clouds on Point Spread Function Modeling
404
Impact of the Secondary Network on the Outage Performance of the Primary Service in Spectrum Sharing
405
Impact of the secondary service transmit power constraint on the achievable capacity of spectrum sharing in rayleigh fading environment
406
Impact Of The Self-heating Effect On Circuit Performance Estimation Using DC Model Parameters
407
Impact of the semiconductor diode structure on the virtual local oscillator leakage of GaAs sub-harmonic mixers
408
Impact of the semiconductor optical pre-amplifier in the performance of the 100 GbE 4×25-Gb/s 40-km PHY under different transmitter conditions
409
Impact of the SiC FCI in the DCLL blanket module on the nuclear environment inside a Demo reactor configuration
410
Impact of the Signal and Nonlinearity Extinction Ratios on the Design of Nonideal 2R All-Optical Regenerators
411
Impact of the silicon layer thickness to the optical property of sandwich photonic crystal structure
412
Impact of the skin conductivity and displacement currents on LF numerical dosimetry
413
Impact of the slottime parameter value on the MAC performances in IEEE 802.11 wireless systems using radio-over-fiber technology
414
Impact of the SmartGrids concept on future distribution system investments in Slovenia
415
Impact of the SOI Substrate Parameters on the Radio-frequency Performance of the Quasi-SOI Power MOSFET
416
Impact of the Solar Flares on the SER Dynamics on Micro and Nanometric Technologies
417
Impact of the solder joint ageing on IGBT I–V characteristics using 2D physical simulations
418
Impact of the source-path parasitic inductance on the MOSFET commutations
419
Impact of the spatial normalization template and realignment procedure on the SPM analysis of [11C]Raclopride PET studies
420
Impact of the spatial user distribution on the coverage antenna pattern of Maximum Ratio Combining in Random Line-Of-Sight
421
Impact of the spreading sequences on the performance of forward link MC-CDMA systems
422
Impact of the stack order in Cu-Zn-Sn metal precursors on the properties of Cu2ZnSnS4 thin films
423
Impact of the Starting Powder Composition on {\\rm GdSr}_{2}{\\rm RuCu}_{2}{\\rm O}_{8} Melt-Textured Processes
424
Impact of the StatCom on the power system feasibility region boundary
425
Impact of the statistical scatter of the complex permittivity of carbon nanotube composite sheets on Salisbury-screen-type radar absorbing structures
426
Impact of the statistical variability on 15nm III–V and Ge MOSFET based SRAM design
427
Impact of the statistics of second-order polarization-mode dispersion on system performance
428
Impact of the storage layer charging on Random Telegraph Noise behavior of sub-50nm charge-trap-based TANOS and floating-gate memory cells
429
Impact of the structure of subcutaneous tissue on ultrasonic clutter
430
Impact of the Substrate Material on the RF Performance of Carbon-Nanotube Transistors
431
Impact of the Substrate Orientation on CHC Reliability in n-FinFETs—Separation of the Various Contributions
432
Impact of the Sun on remote sensing of sea surface salinity from space
433
Impact of the superconducting fault current limiters in distribution network architecture
434
Impact of the supply voltage on the stray load losses in induction motors
435
Impact of the Supply Voltage on the Stray-Load Losses in Induction Motors
436
Impact of the Surface Roughness Description on the Electrical Contact Resistance of Ohmic Switches Under Low Actuation Forces
437
Impact of the switching frequency on the DC-side admittance in three-phase converter systems
438
Impact of the Symmetry of Coupling-Decoupling Networks on the Conducted Immunity Testing of PLC Modems
439
Impact of the target supporting mast in an indoor RCS measurement facility: Computation and measurement
440
Impact of the time segment analysis for P300 detection with spatial filtering
441
Impact of the time-spatial background ionosphere variation on geosynchronous SAR interferometric phase screen
442
Impact of the traffic load on performance of an alternative LTE railway communication network
443
Impact of the trajectory generation strategy on the driveline efficiency of a multi-axial robot
444
Impact of the transformer in the current mode supply of dielectric barrier discharge excimer lamps
445
Impact of the Transformer Tap-Ratio Control on the Electricity Market Equilibrium
446
Impact of the transmitted signal initial dispersion transient on the accuracy of the GN-model of non-linear propagation
447
Impact of the transponder configuration on the Asia-Europe TWSTFT network
448
Impact of the Transport Network Congestion Control on the HSUPA Performance
449
Impact of the trapping of anode hot holes on silicon dioxide breakdown
450
Impact of the tropospheric turbulence on the propagation of radiowaves: Models for new communication systems
451
Impact of the tunnel etching process on electrical performances of SON devices.
452
Impact of the two traps related leakage mechanism on the tail distribution of DRAM retention characteristics
453
Impact of the UBM geometry and solder bump shape on electromigration reliability in a package system
454
Impact of the ULTC on the dynamic voltage collapse of an electric power system with large scale of wind generation
455
Impact of the Undesired RF-IF Chain Effects on the LS-CMA based Beamformer in CDMA Mobile Communications
456
Impact of the university environment and VLSI fabrication services on mixed-signal design in a university research setting
457
Impact Of The Use Of Commercial Off-the-shelf Equipment On The Requirements For Electromagnetic Interference (EMI) Control
458
Impact of the use of Facebook amongst students of high school age with Social, Emotional and Behavioural Difficulties (SEBD)
459
Impact of the use of large frame sizes in fieldbuses for multimedia applications
460
Impact of the use of nanomaterials in liquid electrical insulation for inverter duty applications
461
Impact of the user orientation on WLAN positioning based upon the access point with the strongest signal
462
Impact of the users´ distribution on the IEEE 802.11a MAC protocol fairness and performance
463
Impact of the Variability of the Process Parameters on CNT-Based Nanointerconnects Performances: A Comparison Between SWCNTs Bundles and MWCNT
464
Impact of the variation in the background noise floor on UMTS system capacity
465
Impact of the variation of the operational frequency and voltage on the Venezuelan interconnected system
466
Impact of the Velocity Overshoot on the Performance of NMOSFETs with Gate Lengths from 80 to 250nm
467
Impact of the vent size in the feedback-path and occlusion-effect in hearing aids
468
Impact of the vertical layer structure on the emission directionality of thin-film InGaN photonic crystal LEDs
469
Impact of the vertical SOI `DELTA´ structure on planar device technology
470
Impact of the video slice size on the visual quality for H.264 over 3G UMTS services
471
Impact of the visitor pattern on program comprehension and maintenance
472
Impact of the voltage feed-forward and current decoupling on VSC current control stability in weak grid based on complex variables
473
Impact of the Voltage Transients After a Fast Power Abort on the Quench Detection System in the LHC Main Dipole Chain
474
Impact of the VSC HVDC Connection of Large Offshore Wind Farms on Power System Stability and Control
475
Impact of the war on science
476
Impact of the wave number estimation in Underground Focusing SAR images
477
Impact of the wavelength dependence of the mode field on the nonlinearity coefficient of PCFs
478
Impact of the weak link count mechanism on G3-PLC LOADng routing protocol
479
Impact of the weather factors on frequency-domain characteristics of the antennas used for EMC measurement in power systems
480
Impact of the weighted mean temperature on the estimation of GPS precipitable water vapor
481
Impact of the wiggler coherent synchrotron radiation impedance on the beam instability
482
Impact of the wind forecast error on the French balancing system
483
Impact of the wind generator on the power flow in the electric grid
484
Impact of the Wind Geographical Correlation Level for Reliability Studies
485
Impact of the winding area of enameled wire on packaging performance of a closed loop Hall effect current sensor
486
Impact of the x86 System Management Mode in Real-Time Systems
487
Impact of thermal ageing on cohesive and adhesive strengths of overmould materials: Characterisation methods and implementation in FEM
488
Impact of thermal aging on emission of a buck DC-DC converter
489
Impact of thermal aging on the thermal fatigue durability of Pb-free solder joints
490
Impact of thermal and electrical aging on breakdown strength of oil-impregnated paper
491
Impact of Thermal Behavior on ZRO in an Electro-magnetically Driven Microgyroscope
492
Impact of thermal budget on dopant-segregated (DS) metal S/D gate-all-around (GAA) PFETs
493
Impact of thermal budget on the low-frequency noise of DRAM peripheral nMOSFETs
494
Impact of thermal constraints on multi-core architectures
495
Impact of thermal cycle on end-face geometry of laser processed LC and standard LC connectors
496
Impact of thermal cycling and background gas environment on tin whiskering
497
Impact of Thermal Cycling in Humid Environments on Power Electronic Modules
498
Impact of thermal cycling on high voltage coils used in marine generators using FEA methods
499
Impact of thermal effects on Simulation accuracy of nonlinear dynamics in semiconductor lasers
500
Impact of Thermal Gradients on Clock Skew and Testing
501
Impact of thermal NH3-nitridation on dielectric properties of ultrathin SiO2 films
502
Impact of thermal overload operation on wirebond and metallization reliability in smart power devices
503
Impact of thermal recovery and hydrogen production of fuel cell power plants on distribution feeder reconfiguration
504
Impact of thermal stress on the characteristics of conducted emissions
505
Impact of thermal through silicon via (TTSV) on the temperature profile of multi-layer 3-D device stack
506
Impact of thermal treatments on the schottky barrier height reduction at the Ti-TiOx-Si interface for contact resistance reduction
507
Impact of Thermoelectric Effects on Phase Change Memory Characteristics
508
Impact of Thermomechanical Stresses on Ultra-thin Si Stacked Structure
509
Impact of Thickness and Deposition Temperature of Gate Dielectric on Valence Bands in Silicon Nanowires
510
Impact of thin AgI coatings on modes in cylindrical metallic waveguides for THz applications
511
Impact of thin intermediate thermal oxide films on the properties of PECVD passivation layer systems
512
Impact of Thin WSIX Insertion in Tungsten Polymetal Gate on Gate Oxide Reliability and Gate Contact Resistance
513
Impact of thinning and through silicon via proximity on High-k / Metal Gate first CMOS performance
514
Impact of third-order dispersion compensation in double pumped passively mode-locked fiber laser
515
Impact of third-order dispersion in ultra-high speed long-haul optical fiber communication system
516
Impact of Third-Order Intermodulation on the Performance of Subcarrier Multiplexed Quantum Key Distribution
517
Impact of threading dislocations on both n/p and p/n single junction GaAs cells grown on Ge/SiGe/Si substrates
518
Impact of Threading Dislocations on the Design of GaAs and InGaP/GaAs Solar Cells on Si Using Finite Element Analysis
519
Impact of three-dimensional architectures on interconnects in gigascale integration
520
Impact of three-dimensional transistor on the pattern area reduction for ULSI
521
Impact of three-dimensionality of femtocell deployments on aggregate interference estimation
522
Impact of three-phase single-stage photovoltaic (PV) system interfaced with distribution network on load modeling
523
Impact of three-phase voltage dips on the induction motors - An experimental study
524
Impact of threshold energy of multiple exciton generation solar cells
525
Impact of threshold voltage fluctuation due to random telegraph noise on scaled-down SRAM
526
Impact of thresholding on signal processing performance with applications
527
Impact of through silicon via induced mechanical stress on fully depleted Bulk FinFET technology
528
Impact of through silicon vias on front-end-of-line performance after thermal cycling and thermal storage
529
Impact of through-silicon via technology on energy consumption of 3D-integrated solid-state drive systems
530
Impact of Through-Silicon-Via capacitance on high frequency supply noise in 3D-stacks
531
Impact of through-silicon-via scaling on the wirelength distribution of current and future 3D ICs
532
Impact of thyristor controlled series capacitor on bulk power system reliability
533
Impact of thyristor controlled series capacitor on power system reliability
534
Impact of tidal generation on power system operation in Ireland
535
Impact of tidal generation on power system operation in Ireland
536
Impact of TID-induced threshold deviations in analog building-blocks of operational amplifiers
537
Impact of tight optical filtering on orthogonal time-frequency domain multiplexed signals in wavelength-selective switching systems
538
Impact of tight optical filtering on the performance of 28 Gbaud Nyquist-WDM PDM-8QAM over 37.5 GHz grid
539
Impact of tilt angle variation on device performance
540
Impact of time and frequency offsets on cooperative multi-user MIMO-OFDM systems
541
Impact of Time and Space Harmonics on Synchronous Generator Load Angle
542
Impact of Time Delays on Power System Stability
543
Impact of time delays on the JTIDS network
544
Impact of time dependent dielectric breakdown and stress induced leakage current on the reliability of (Ba,Sr)TiO/sub 3/ thin film capacitors for Gbit-scale DRAMs
545
Impact of time dependent dielectric breakdown and stress-induced leakage current on the reliability of high dielectric constant (Ba,Sr)TiO3 thin-film capacitors for Gbit-scale DRAMs
546
Impact of Time Reversal on multi-user interference in IR-UWB
547
Impact of time reversal on UWB location system or train passenger
548
Impact of time to flashover of gapped silicon carbide arrester on insulation co ordination of substation. A model study
549
Impact of time variability in off-line writer identification and verification
550
Impact of time-based visualization on situation awareness
551
Impact of time-correlated arrivals on the performance of backpressure-based stochastic network control
552
Impact of time-dependent variability on the yield and performance of 6T SRAM cells in an advanced HK/MG technology
553
Impact of time-frequency representation to the generalization ability of synthesized time-frequency spatial patterns algorithm in brain computer interface
554
Impact of time-periodic coupling strength on the firing regularity of a scale-free network
555
Impact of time-selective fading on orthogonal space-time block coding
556
Impact of time-selective fading on the performance of quasi-orthogonal space-time-coded OFDM systems
557
Impact of time-varying failure rates on distribution reliability
558
Impact of time-varying loads on the programmable pulsed power driver called genesis
559
Impact of Time-Varying Loads on the Programmable Pulsed Power Driver Called Genesis
560
Impact of Time-Varying Underwater Acoustic Channels on the Performance of Routing Protocols
561
Impact of time-zero and NBTI variability on sub-20nm FinFET based SRAM at low voltages
562
Impact of timing and frequency offsets on multicarrier waveform candidates for 5G
563
Impact of timing error on BER performance of TDD pre-equalized MPSK OFDM systems
564
Impact of timing error on BER performance of TDD pre-equalized OFDM systems
565
Impact of timing error on the performance of multiuser detection in multirate CDMA systems
566
Impact of Timing Jitter and I/Q Imbalance in OFDM Systems
567
Impact of timing jitter on carrier-based DS-UWB communications over AWGN and multipath channel
568
Impact of Timing Jitter on Rake Reception of DS- WB Signal over AWGN and Multipath Environment
569
Impact of timing jitter on the BER performance of an M-PPM free space optical link in presence of atmospheric turbulence
570
Impact of TiN Metal gate on NBTI assessed by interface states and fast transient effect characterization
571
Impact of TiN metal gate thickness and the HfSiO nitridation on MuGFETs electrical performance
572
Impact of TiN Plasma Post-treatment on Alumina Electron Trapping
573
Impact of TiN Plasma Post-Treatment on Alumina Electron Trapping
574
Impact of Tire Compliance Behavior to Vehicle Longitudinal Dynamics and Control
575
Impact of TMS on the primary motor cortex and associated spinal systems
576
Impact of TOF information in OpenPET imaging
577
Impact of tolerances in dielectric properties of hand phantoms
578
Impact of Tone Interference on Multiband OFDM
579
Impact of tool installation delay on factory ramp-up performance
580
Impact of Topographic Correction on Estimation of Aboveground Boreal Biomass Using Multi-temporal, L-Band Backscatter
581
Impact of topographic correction on high spectral resolution MODIS sensor satellite imagery of Himalayan region
582
Impact of topography on microwave emissivity retrieval from satellite radiometers
583
Impact of Topological Characteristics on Consensus Building in Multiagent Systems
584
Impact of topological properties over link prediction based on node neighbourhood: A study
585
Impact of topology and link aggregation on a PC cluster with Ethernet
586
Impact of Topology and Shadowing on the Outage Probability of Cellular Networks
587
Impact of topology and traffic on physical layer monitoring in transparent networks
588
Impact of topology control and traffic models performance on Mobile Ad Hoc Wireless routing protocol
589
Impact of topology control on capacity of wireless ad hoc networks
590
Impact of Topology Control on End to End Performance for Directional MANETs
591
Impact of topology on interference networks with no CSIT
592
Impact of topology on layer 2 switched QoS sensitive services
593
Impact of topology on parallel video streaming
594
Impact of Topology on Performance and Energy Efficiency in Wireless Sensor Networks for Source Extraction
595
Impact of Topology on Plant Functioning: A Theoretical Analysis Based on the GreenLab Model Equations
596
Impact of topology on the performance of communication networks
597
Impact of topology on wavelength and switch-port requirements in all-optical hierarchical multi-ring networks
598
Impact of Topology-dependent and independent Mobility Models on the Connectivity of Wireless Networks
599
Impact of Top-Surface Tunnel-Oxide Nitridation on Flash Memory Performance and Reliability
600
Impact of total efficiency of multiple element antennas on diversity and capacity
601
Impact of Total Ionizing Dose on a 4th Generation, 90 nm SiGe HBT Gaussian Pulse Generator
602
Impact of Total Ionizing Dose on the Analog Single Event Transient Sensitivity of a Linear Bipolar Integrated Circuit
603
Impact of Total Ionizing Dose on the Data Retention of a 65 nm SONOS-Based NOR Flash
604
Impact of Total Ionizing Dose on the Electromagnetic Susceptibility of a Single Bipolar Transistor
605
Impact of total ionizing dose on the electromagnetic susceptibility of a single bipolar transistor
606
Impact of TOU rates on distribution load shapes in a smart grid with PHEV penetration
607
Impact of trace spacing on noise coupling for guard trace in stripline structure
608
Impact of tracer distribution, count level, iterations and post-smoothing on PET quantification using a variously weighted least squares algorithm
609
Impact of tracking errors on dual diversity structure over the free space optics links
610
Impact of tracking requirements on space-based radar (SBR) design
611
Impact of trade liberalization on farmers´ welfare: — From the perspective of consumption and price transmission
612
Impact of Traffic Behaviour on the Performance of Dynamic WDM Transport Networks
613
Impact of traffic behaviour on the planning of multilayer transport networks
614
Impact of traffic burstiness on optimal batching policy for energy-efficient Video-on-Demand services
615
Impact of Traffic Conditions on the Active Suspension Energy Regeneration in Hybrid Electric Vehicles
616
Impact of Traffic Correlation on the Effectiveness of Multilayer Traffic Engineering
617
Impact of Traffic Geolocation Errors on Self-Organizing Network Performance
618
Impact of traffic growth on energy consumption of LTE networks between 2010 and 2020
619
Impact of traffic hotspots in 3G W-CDMA networks
620
Impact of Traffic Influxes: Revealing Exponential Intercontact Time in Urban VANETs
621
Impact of Traffic Load on OFDMA Femtocells Interference Mitigation
622
Impact of Traffic Mix and Packet Sampling on Anomaly Visibility
623
Impact of traffic mix on caching performance in a content-centric network
624
Impact of Traffic Modeling and Scheduling on Delay and Spectral Efficiency of the WINNER System
625
Impact of Traffic on Multi Service IP Based Applications
626
Impact of traffic pattern on benefits of practical Multi-hop Network Coding in wireless networks
627
Impact of Traffic Patterns and Burst Assembly on Energy Consumption in OBS Networks
628
Impact of Traffic Predictability on WDM EXC/OXC Network Performance
629
Impact of traffic shaping in ATM networks on video quality
630
Impact of traffic splitting on the delay performance of MPTCP
631
Impact of Traffic State Transition and Oscillation on Highway Performance with Section-Based Approach
632
Impact of traffic symbol directional cues on pilot performance during TCAS events
633
Impact of traffic topology on wavelength demand in wavelength routed optical networks
634
Impact of training corpus size on the quality of different types of language models for Serbian
635
Impact of training on multiple-antenna communications in wireless ad hoc networks
636
Impact of training on the transmission capacity of wireless ad hoc networks
637
Impact of transaction isolation levels on application performance in database systems
638
Impact of Transceiver I/Q Imbalance on Transmit Diversity of Beamforming OFDM Systems
639
Impact of Transceiver Impairments on the Capacity of Dual-Hop Relay Massive MIMO Systems
640
Impact of Transceiver Power Consumption on the Energy Efficiency of Zero-Forcing Detector in Massive MIMO Systems
641
Impact of Transceiver RFIC Impairments on MIMO System Performance
642
Impact of Transcutaneous Energy Transfer on the electric field and specific absorption rate in the human tissue
643
Impact of transducers configuration in a pilot sonoreactor used for nanocellulose production by ultrasound-assisted TEMPO oxidation
644
Impact of transform coding in compressive sensing based wideband cognitive radios
645
Impact of Transformer Correlations in State Estimation Using the Unscented Transformation
646
Impact of Transformer Inrush Currents on Sensitive Protection Functions
647
Impact of transformer inrush currents on sensitive protection functions How to configure adjacent relays to avoid nuisance tripping?
648
Impact of transformer model parameters variation on FRA signature
649
Impact of transformer winding dry-out on frequency response analysis
650
Impact Of Transient Inrush On MOV Starting
651
Impact of transient response of instrument transformers on phasor measurements
652
Impact of transients due to drive train in variable speed wind energy conversion system
653
Impact of transistor aging effects on sense amplifier reliability in nano-scale CMOS
654
Impact of transistor aging on RF low noise amplifier performance of 28nm technology: Reliability assessment
655
Impact of Transistor Architecture (Bulk Planar, Trigate on Bulk, Ultrathin-Body Planar SOI) and Material (Silicon or III–V Semiconductor) on Variation for Logic and SRAM Applications
656
Impact of Transistor Level degradation on product reliability
657
Impact of transistor mismatch on the speed-accuracy-power trade-off of analog CMOS circuits
658
Impact of transistor model accuracy on harmonic spectra emitted by logic circuits
659
Impact of transistor noise on high precision parametric matching measurements
660
Impact of transistor reliability on RF oscillator phase noise degradation
661
Impact of Transistors on Military Electronics Design
662
Impact of transistor-to-grain size statistics on large-grain polysilicon TFT characteristics
663
Impact of Transitor Matching on Features of Digital Circuit Blocks
664
Impact of transit-time and capture effects on high-frequency performance of multiple quantum-well infrared photodetectors
665
Impact of transmission constraints on supply-side bidding strategy using BLP approach
666
Impact of transmission delay on ISDN videotelephony
667
Impact of transmission error in DCH channel on the performance of UMTS networks
668
Impact of transmission faults on the voltage dip performance of a weak upington distribution network with a CSP plant connected
669
Impact of Transmission Impairments on Demultiplexed Channels in WDMPONs Employing AWG-Based Remote Nodes
670
Impact of transmission impairments on the teletraffic performance of wavelength-routed optical networks
671
Impact of Transmission Network Reinforcement on Improvement of Power System Voltage Stability and Solving the Dynamic Delayed Voltage Recovery and Motor Stalling Problem After System Faults in the Saudi Electricity in the Western Region
672
Impact of transmission on resource adequacy in systems with wind and solar power
673
Impact of transmission performance on path routing in all-optical transport networks
674
Impact of Transmission Power and Routing Algorithms in Designing Robust Wireless Sensor Networks
675
Impact of transmission power control on appearance of traffic long-range dependence in DS-CDMA cellular system
676
Impact of transmission power on TCP performance in vehicular ad hoc networks
677
Impact of transmission power on the performance of secure wireless local area network
678
Impact of Transmission Power on the Performance of UDP in Vehicular Ad Hoc Networks
679
Impact of Transmission Range and Mobility on Routing Protocols over Ad Hoc Networks
680
Impact of transmission range in 802.15.4 with usual routing protocols
681
Impact of transmission reliability on nodal price in electric power market
682
Impact of Transmission Synchronization Error and Cooperative Reception Techniques on the Performance of Cooperative MIMO Systems
683
Impact of transmission-related parameters on the energy performance of cluster-based routing protocols for wireless sensor networks
684
Impact of transmit antenna beamwidth for fixed relay links using ray-tracing and Winner II channel models
685
Impact of Transmit Antenna Separation on Downlink Cellular OFDM System with PARC Transmission
686
Impact of transmit antenna spacing on 2x1 Alamouti radio transmission
687
Impact of Transmit Array Geometry on Downlink System-Level Performance of MIMO Systems
688
Impact of transmit diversity at handsets on the reverse link DS/CDMA system capacity
689
Impact of transmit diversity on cell search in UMTS
690
Impact of transmit impairments on multiuser MIMO non-linear transceivers
691
Impact of Transmit Power Control and Receiver Association on Interweave Network Interference
692
Impact of transmit power control on aggregate interference in underlay cognitive radio networks
693
Impact of transmit range on throughput performance in mobile ad hoc networks
694
Impact of transmitter and receiver imperfections on the performance of coherent optical QPSK communication systems
695
Impact of Transmitter Bandwidth on Transmission Performance of Coherent 112-Gb/s PDM-QPSK Systems
696
Impact of transmitter chirp on optimised pre- and post-dispersion compensation schemes for 10 Gbits/s NRZ links
697
Impact of transmitter electronics for high-speed systems applications
698
Impact of transmitting power on femto cells performance and coverage in heterogeneous wireless networks
699
Impact of transparent network constraints on capacity gain of elastic channel spacing
700
Impact of Transponder Architecture on the Scalability of Optical Nodes in Elastic Optical Networks
701
Impact of transponders and regenerators wake-up time on sleep-mode enabled translucent optical networks
702
Impact of transport dimensionality on the Hooge parameter in inversion-layer-channel MOSFETs
703
Impact of transport infrastructure on regional mobility: Application of social equity approach
704
Impact of transport protocols and message passing libraries on cluster-based computing performance
705
Impact of Transversal Defects on Confinement Loss of an All-Solid 2-D Photonic-Bandgap Fiber
706
Impact of transverse irregularities at the photocathode on the production of high-charge electron bunches
707
Impact of Trapped Charge and Interface Defects on the Degradation of the Optical and Electrical Characteristics in \\hbox {NPD/Alq}_{3} OLEDs
708
Impact of Trapped Helium Gas Bubble in Liquid Helium on the Cooling in High Magnetic Field
709
Impact of trapping effects on GaN HEMT based Doherty PA load-pull ratios
710
Impact of Travelers Information Level on Disturbed Transit Networks: A Multiagent Simulation
711
Impact Of Trench Sidewall Interface Trap In Shallow Trench Isolation On Junction Leakage Current Characteristics For Sub-0.25 /spl mu/m CMOS Devices
712
Impact of Triage: A Study of Mozilla and Gnome
713
Impact of tritium solubility in liquid Pb-17Li on tritium migration in HCLL and WCLL blankets
714
Impact of trivial quantisation on discrimination power in biometric systems
715
Impact of Tropospheric Depolarization on the Performance of Dual-Polarized High-Altitude Platform Systems
716
Impact of tropospheric scintillation in the Ku/K bands on the communications between two LEO satellites in a radio occultation geometry
717
Impact of tropospheric scintillation on direct-sequence spread-spectrum satellite communication networks
718
Impact of truncation on finite-sized dual-band linear phased arrays
719
Impact of truncation on finite-sized dual-band linear phased arrays
720
Impact of truncation on the statistical properties of LFSR sequences
721
Impact of truncation to the reconstruction with a small number of projections
722
Impact of trust, asset specificity, and environmental uncertainty on manufacturing collaborative processes
723
Impact of trust, security and privacy concerns in social networking: An exploratory study to understand the pattern of information revelation in Facebook
724
Impact of TSPi on Software Projects
725
Impact of TSV area on the dynamic range and frame rate performance of 3D-integrated image sensors
726
Impact of TSV induced thermo-mechanical stress on semiconductor device performance
727
Impact of TSV proximity on 45nm CMOS devices in wafer level
728
Impact of tunable wavelength converter performance on all-optical wavelength-routing switches for data centers
729
Impact of tuned harmonic filters and power factor correction capacitors on long-duration arcing fault current
730
Impact of tuning delay on the performance of bandwidth-limited optical broadcast networks with uniform traffic
731
Impact of tuning on bad data detection of PMU measurements
732
Impact of tuning parameters on dynamic swarms in PSO-based multiobjective optimization
733
Impact of tunnel currents and channel resistance on the characterization of channel inversion layer charge and polysilicon-gate depletion of sub-20-Å gate oxide MOSFETs
734
Impact of Tunnel Oxide Thickness on Erratic Erase in Flash Memories
735
Impact of turbine fast-valving on generator and transformer protective relays
736
Impact of Turbine Generator Overspeed Controls on Unit Performance Under System Disturbance Conditions
737
Impact of Turbine Generator Overspeed Controls on Unit Performance Under System Disturbance Conditions
738
Impact of turnaround time on wireless MAC protocols
739
Impact of Turned Cars in Tunnel on Modelling People Evacuation in Fire Conditions
740
Impact of TWDM on CATV and fronthaul channels
741
Impact of TWDM on optional real-time QPSK WDM channels
742
Impact of twin-gate concept on silicon-on-insulator inverters
743
Impact of twist non-uniformity on crosstalk in twisted-wire pairs
744
Impact of Two Factors on Several Domain Decomposition Based Parallel Incomplete Factorizations for the Meso-scale Simulation of Concrete
745
Impact of two realistic mobility models for vehicular safety applications
746
Impact of Two-Stage Service Restoration on Distribution Reliability
747
Impact of two-stage service restoration on distribution reliability
748
Impact of two-step-recessed gate structure on RF performance of InP-based HEMTs
749
Impact of UHF RFID IC impedance on the RFID system performances in presence of dielectric materials
750
Impact of ULK Dielectric Loss on Interconnect Response for 45 nm Node Integrated Circuits
751
Impact of ULK restoration techniques on propagation performance for interconnects of the 45 nm technology node and below
752
Impact of ultra clean wafer processings on power devices
753
Impact of ultra low power and fast write operation of advanced perpendicular MTJ on power reduction for high-performance mobile CPU
754
Impact of ultra thin oxide breakdown on circuits
755
Impact of ultra wide band (UWB) on digital audio broadcasting (DAB) and digital television (DTV) systems
756
Impact of ultra wide band radar (UWBR) systems in EMC scenario
757
Impact of ultra wide band transmissions on a generic receiver
758
Impact of Ultra Wide-Band Antennas on Communications in a Spatial Cannel
759
Impact Of Ultrahigh Intensity Lasers In Science And Technology
760
Impact of ultra-low voltages on single-event transients and pulse quenching
761
Impact of ultrashallow junction on hot carrier degradation of sub-0.25-μm nMOSFETs
762
Impact of unbalance in harmonic polluted power networks
763
Impact of Unbalance on Electrical and Torsional Resonances in Power Electronic Interfaced Wind Energy Systems
764
Impact of unbalance on electrical and torsional resonances in power electronic interfaced wind energy systems
765
Impact of unbalance on power system harmonics
766
Impact of unbalance voltage dips on the behavior of voltage source inverters
767
Impact of unbalanced grid conditions on PV systems
768
Impact of unbalanced penetration of small wind turbines on voltage violation in residential distribution networks
769
Impact of Uncertainties in MagnetoEncephalography Inverse Problem
770
Impact of uncertainties on bidding strategies
771
Impact of uncertainty and elastic response of demand in short term marginal prices
772
Impact of Uncertainty and Risk Assessment in Multimedia Project Scheduling
773
Impact of uncertainty for prognostics of complex systems
774
Impact of Uncertainty in the Drop Size Distribution on Oceanic Rainfall Retrievals From Passive Microwave Observations
775
Impact of uncertainty on the diagnostics and prognostics of a Current-Pressure transducer
776
Impact of uncertainty on the prediction of airspace complexity of congested sectors
777
Impact of uncoordinated and coordinated charging of plug-in electric vehicles on substation transformer in smart grid with charging stations
778
Impact of under-excitation limit control on power system dynamic performance
779
Impact of underfill filler particles on reliability of flip chip interconnects
780
Impact of underfill filler particles on reliability of flip-chip interconnects
781
Impact of underfill fillet geometry on interfacial delamination in organic flip chip packages
782
Impact of Underlap Channel and Body Thickness on the Performance of DG-MOSFET with Si3N4 spacer
783
Impact of underwater bandwidth on cross-correlation based node estimation technique
784
Impact of Underwater Laser Annealing on Polycrystalline Silicon Thin-Film Transistor for Inactivation of Electrical Defects at Super Low Temperature
785
Impact of Unethical Practices of Plagiarism on Learning, Teaching and Research in Higher Education: Some Combating Strategies
786
Impact of uneven solder thickness on IGBT substrate reliability
787
Impact of Unexpected Events on Supply Chain Coordination
788
Impact of uniaxial strain on channel backscattering characteristics and drain current variation for nanoscale PMOSFETs
789
Impact of Uniaxial Strain on Low-Frequency Noise in Nanoscale PMOSFETs
790
Impact of Uniaxial Strain on Random Telegraph Noise in High- k /Metal Gate pMOSFETs
791
Impact of uniaxial strain on the gate leakage currents of PD-SOI MOSFETs and ring oscillators with ultra-thin gate dielectric
792
Impact of unicast and multicast traffic on ONU energy savings
793
Impact of unified power flow controller control parameters on available transfer capability
794
Impact of unified power flow controllers on power system reliability
795
Impact of Unified Power-Quality Conditioner Allocation on Line Loading, Losses, and Voltage Stability of Radial Distribution Systems
796
Impact of unit commitment constraints on generation expansion planning with renewables
797
Impact of Unit Failure on Forward Contracting
798
Impact of university/industry collaboration on engineering education-a success story
799
Impact of unknown offset in amplitude estimation of a digitized sine wave
800
Impact of Unknown Time-varying Fading on the Information Rates of Amplify and Forward Cooperative Systems
801
Impact of unrealistic worst case modeling on the performance of VLSI circuits in deep submicron CMOS technologies
802
Impact of unrealistic worst case modeling on the performance of VLSI circuits in deep sub-micron CMOS technologies
803
Impact of untreated thicker CVD TiN film at a Via glue layer on Rc Performance in 0.15um CMOS Technology
804
Impact of UPFC on distance relay: A case study
805
Impact of UPFC on Power Swing Characteristic and Distance Relay Behavior
806
Impact of UPFC on power system reliability considering its cyber vulnerability
807
Impact of Upper Layer Adaptation on End-to-end Delay Management in Wireless Ad Hoc Networks
808
Impact of Upstream Weaving Segment on Signalized Intersection Capacity
809
Impact of Urban Expansion on Summer Heat Wave in Beijing
810
Impact of Urban Land-Cover Classification on Groundwater Recharge Uncertainty
811
Impact of urban radio obstructions on the effectiveness of moving WAVE providers
812
Impact of urban sprawl on net primary productivity in the Southeastern United States
813
Impact of urbanization on stream structure and flood hazard in the plain river network region
814
Impact of urbanization on the thermal comfort conditions in the hot humid city of Chennai, India
815
Impact of usability on non-technical users: Usability testing through websites
816
Impact of usage conditions on solder joint fatigue life
817
Impact of Usage Duration on Mobile Phones EMI Characteristics
818
Impact of usage of discrete networks on Telemedicine capabilities especially in India
819
Impact of User Collaboration on the Performance of Sensing-Based Opportunistic Spectrum Access
820
Impact of user grouping on joint network/channel coding
821
Impact of user habits in smart home control
822
Impact of user interface generation on maintenance
823
Impact of user location in W-CDMA downlink resource allocation
824
Impact of User Mobility and Asymmetry on Multiuser Scheduler Performance
825
Impact of user mobility on optimal linear receivers in cellular networks
826
Impact of user mobility on the broadcast service efficiency of the ADHOC MAC protocol
827
Impact of user participation on Web-based information system: The Hong Kong experience
828
Impact of user preferences on the quality of vertical handovers
829
Impact of User Selection Criteria on Performance of MIMO Detectors in Multiuser Systems
830
Impact of Users´ Expertise on the Competition between Proprietary and Open Source Software
831
Impact of using a double cipher scheme on the implementation of a particular ubiquitous processor
832
Impact of using adaptive body bias to compensate die-to-die Vt variation on within-die Vt variation
833
Impact of Using an Educational Robot-Based Learning System on Students’ Motivation in Elementary Education
834
Impact of using compact fluorescent lamps on power quality
835
Impact of using different tissue classes on the accuracy of MR-based attenuation correction in PET-MRI
836
Impact of Using Double-Patterning Versus Single-Patterning on Threshold Voltage (V_{\\rm TH}) Variation in Quasi-Planar Tri-Gate Bulk MOSFETs
837
Impact of using multi-level modulation format in multi-core fiber
838
Impact of Using Multi-Packet Reception on Performance in Delay Tolerant Networks
839
Impact of Using Semantic Social Networks on Organizations
840
Impact of using several altimeters for improving numerical wave analyses and forecasts
841
Impact of Using the Octagonal Layout for SOI MOSFETs in a High-Temperature Environment
842
Impact of utilising sequential and nonsequential simulation techniques in bulk-electric-system reliability assessment
843
Impact of utility switched capacitors on customer systems. II. Adjustable-speed drive concerns
844
Impact of utility switched capacitors on customer systems-magnification at low voltage capacitors
845
Impact of utility switched capacitors on customer systems-magnification at low voltage capacitors
846
Impact of utilizing forecasted network traffic for data transfers
847
Impact of Utilizing Selective Motor Topologies and Control Strategies on the Overall Performance of Integrated Starter Alternator (ISA) Based HEVs
848
Impact of UV wavelength and curing time on the properties of spin-coated low-k films
849
Impact of UWB interference on IEEE 802.11a WLAN system
850
Impact of UWB pulses on basic pcb antennas for wireless communication systems using the 2.4 GHz ISM band
851
Impact of UWB Transmitted-Reference Modulation on Linear Equalization on-Linear ISI Channels
852
Impact of UWD Channel Modeling on Outage and Ergodie Capacity
853
Impact of V/III flux ratio and Si-doping concentration on GaN grown by metalorganic chemical-vapor deposition on sapphire substrate
854
Impact of V/III ratio on GaN growth by HVPE
855
Impact of V/III ratio, CBr4 and AsH3 concentrations on the MOVPE growth of GaAsSb for InP DHBT applications
856
Impact of V2G on real-time adaptive Volt/VAr optimization of distribution networks
857
Impact of V2G on substation capacity and SVR devices for Radial Distribution network
858
Impact of V2G penetration on distribution system components using diversity factor
859
Impact of V2G/G2V technologies on Distributed Generation systems
860
Impact of V2X privacy strategies on Intersection Collision Avoidance systems
861
Impact of vacuum switch-disconnectors on reliability of MV distribution networks
862
Impact of Value Expectation on Circulation of Fiat Money
863
Impact of value of lost load on performance of reliability criteria and reliability management
864
Impact of values on subjective well-being of the post-80s generation
865
Impact of Van Jacobson header compression on TCP/IP throughput performance over lossy space channels
866
Impact of VANET-based V2X communication using IEEE 802.11p on reducing vehicles traveling time in realistic large scale urban area
867
Impact of VAr management on distribution system economics and reliability
868
Impact of VAr management on distribution system economics and reliability
869
Impact of VAR Support on Electricity Pricing in Voltage Stability Constrained OPF Market Model
870
Impact of variability in data on accuracy and diversity of neural network based ensemble classifiers
871
Impact of variability in respiration on estimation and correction of respiratory motion of the heart in SPECT
872
Impact of Variability on Clock Skew in H-tree Clock Networks
873
Impact of variability on power
874
Impact of variable atmospheric water vapor content on AVHRR data corrections over land
875
Impact of variable frequency drive and cyclic loading on multi-function motor protection relays
876
Impact of variable generation in generation resource planning models
877
Impact of Variable Length Codes on the Interleaving Gain of Turbo Systems: The Concept of Bounded Spectrum
878
Impact of variable network load on group based video multicast scheme over the HSDPA network
879
Impact of variable renewable energy in the Iberian Electricity Market
880
Impact of variable renewable energy on US electricity markets
881
Impact of variable solar PV generation in MEA´s power distribution system
882
Impact of variable solar PV generation on MV distribution systems
883
Impact of variable speed wind farms on power systems oscillations
884
Impact of variable transmission power on routing protocols in wireless sensor networks
885
Impact of Variable Transmission Range in All-Wireless Networks
886
Impact of variance of heterogeneous spectrum on performance of Cognitive Radio Ad Hoc Networks
887
Impact of Variation in Nanoscale Silicon and Non-Silicon FinFETs and Tunnel FETs on Device and SRAM Performance
888
Impact of variation in patient response on model-based control of glycaemia in critically ill patients
889
Impact of variation of wind speed in a wind integrated power system
890
Impact of variations in the radioactive background above the Earth on the ionization balance in the lower ionosphere
891
Impact of Variations of Bluetooth Transmitting Power in the Presence of WLAN Interfering Signal
892
Impact of variations on I-D flow in gas turbine engines via Monte-Carlo simulations
893
Impact of Various Factors on Relationships Between Stress and Eigen Magnetic Field in a Steel Specimen
894
Impact of various flow-fields on laser beam propagation
895
Impact of Various Forms of Phosphorus on Biological Growth in Water
896
Impact of various harsh environmental conditions on the long term behavior of electrical joints
897
Impact of various load compositions on the input signal selection for wide-area damping controllers
898
Impact of various mobility model and judgment for selecting mode of network in different mobility situation for Mobile Ad-Hoc Network (MANET)
899
Impact of various scenarios of V2G penetration on voltage profile of a 3-phase network
900
Impact of varying clearances for the Wells turbine on heat transfer from electrical generators in oscillating water columns
901
Impact of varying electric field: tunneling in deep submicron nMOSFET
902
Impact of Varying Indium(x) Concentration and Quantum Confinement on PBTI Reliability in InxGa1-xAs FinFET
903
Impact of Varying Neurons and Hidden Layers in Neural Network Architecture for a Time Frequency Application
904
Impact of varying photovoltaic penetration on minimum loss reconfiguration
905
Impact of varying processor number for H264 in FPGA platform
906
Impact of varying time-delays and data dropouts on networked control system for inverter parallel operation
907
Impact of Varying Traffic Profile on Phantom Cell Concept Energy Savings Schemes
908
Impact of varying wireless channel on the performance of LEO satellite communication system
909
Impact of VCO Topology on SET Induced Frequency Response
910
Impact of VCSEL nonlinearity on Discrete MultiTone modulation: Quasi-static approach
911
Impact of VDMOS source metallization ageing in 3D FEM wire lift off modeling
912
Impact of Vector Antennas on Direction Estimation Using a Spherical Array
913
Impact of Vector Ordering Strategies on Morphological Unmixing of Remotely Sensed Hyperspectral Images
914
Impact of vector quantization compression on hyperspectral data in the retrieval accuracies of crop chlorophyll content for precision agriculture
915
Impact of vector quantization compression on the surface reflectance retrieval: a case study
916
Impact of vegetation fires on surface albedo dynamics and absorbed solar radiation over the African Continent
917
Impact of Vegetation in the Retrieval of Snow Parameters from Backscattering Measurements at the X- and Ku-bands
918
Impact of vegetation on the performance of 28 GHz LMDS transmission
919
Impact of vehicle body in DSRC channels
920
Impact of vehicle performance on cost effective way to meet CAFE 2017–2025
921
Impact of vehicle platform on global positioning system performance in intelligent transportation
922
Impact of Vehicles as Obstacles in Vehicular Ad Hoc Networks
923
Impact of vehicular communications security on transportation safety
924
Impact of vehicular integration effects on the performance of DSRC communications
925
Impact of Vehicular Traffic on Information Capacity of Cellular Wireless Network at Carrier Frequencies Greater Than 3 GHz
926
Impact of velocity and bundle holding time on bundle dropping event in Vehicular Delay tolerant network
927
Impact of velocity overshoot, polysilicon depletion, and inversion layer quantization on NMOSFET scaling
928
Impact of velocity saturation region on nMOSFET´s hot carrier reliability at elevated temperatures
929
Impact of ventricular volume waveform on centrifugal blood pump for the children with cardiac failure
930
Impact of venture investment shareholders on the financing behavior of the listing Corporation on a-share market
931
Impact of verbal communication on user experience in 3D immersive virtual environments
932
Impact of Vergence Adaptative Process of Progressive Len Acceptability
933
Impact of Version History Metrics on Maintainability
934
Impact of Version Management on Transactional Memories´ Performance
935
Impact of Vertical Handovers on Cooperative Content Distribution Systems
936
Impact of vertical RRAM device characteristics on 3D cross-point array design
937
Impact of vertical situation information on vertical mode awareness in advanced autoflight systems
938
Impact of very high integration levels on product design and manufacture
939
Impact of very large cumulated dispersion on performance of 40 Gbit/s submarine systems over nonzero dispersion shifted fibres
940
Impact of Very Low Hf Concentration (Hf=6%) Cap Layer on Performance and Reliability Improvement of HfSiON -CMOSFET with EOT Scalable to 1nm
941
Impact of very severe cyclone ?HudHud? on power system operation
942
Impact of very-large-scale integration (v.l.s.i) on systems and people
943
Impact of via interactions and metal slotting on stress induced voiding
944
Impact of via-line contact on CU interconnect electromigration performance
945
Impact of vias on the thermal effect of deep sub-micron Cu/low-k interconnects
946
Impact of vibration on the muscle endurance and fatigue during strengthening exercise
947
Impact of Video Content on Video Quality for Video over Wireless Networks
948
Impact of Video Encoding Parameters on Dynamic Video Transcoding
949
Impact of video game experience and gender differences in educational video games
950
Impact of video transcoding artifacts on the subjective quality
951
Impact of video transcoding profiles in wireless multicast streaming
952
Impact of virtual bridging on virtual machine placement in data center networking
953
Impact of virtual channels and adaptive routing on application performance
954
Impact of Virtual Flux reference frame orientation on voltage source inverters in weak grids
955
Impact of virtual instrumentation in teaching automated measurement systems
956
Impact of virtual machine granularity on cloud computing workloads performance
957
Impact of Visual Cues on Climate Perception in Virtual Urban Environments: A User Study
958
Impact of visual error augmentation methods on task performance and motor adaptation
959
Impact of Visual Error Augmentation When Integrated With Assist-as-Needed Training Method in Robot-Assisted Rehabilitation
960
Impact of Visual Features on the Segmentation of Gastroenterology Images Using Normalized Cuts
961
Impact of VLSI Circuits on Automotive Electronics
962
Impact of VLSI design rules on high voltage (2000 V) IGBTs/ESTs
963
Impact of VLSI from communications viewpoint
964
Impact of VLSI from communications viewpoint
965
Impact of VLSI scaling on die qualification
966
Impact of VLSI technology scaling on HTOL
967
Impact of VMS Route Recommendation on Road Network
968
Impact of voice excitation features on speaker verification
969
Impact of Voice Traffic on the Performance of Packet Data Transmission in TETRA Networks
970
Impact of void fraction on mechanical properties and evolution of coupling loss in ITER Nb3Sn conductors under cyclic loading
971
Impact of voids in Ti-salicided p+ polysilicon lines on TiSi2 electrical properties
972
Impact of VoIP services on the level of head exposure to radiation from 3G smartphones
973
Impact of voltage and power factor change on primary distribution feeder power loss in radial and loop type of feeders
974
Impact of voltage on Nd:YAG pulsed laser output energy
975
Impact of voltage phase angle changes on low-voltage ride-through performance of DG-units
976
Impact of voltage phase angle changes on low-voltage ride-through performance of small scale hydro DG units
977
Impact of voltage phase jump on loads and its mitigation
978
Impact of voltage reduction on electric utility cost recovery and retail rates in a state or publicly regulated environment
979
Impact of Voltage Reduction on Energy and Demand
980
Impact of Voltage Reduction on Energy and Demand: Phase II
981
Impact of Voltage Reduction on Energy and Demand: Phase II
982
Impact of voltage reduction on load, line loss, and generation
983
Impact of voltage sags and continuous unbalance on variable-speed drives
984
Impact of voltage sags in practical power system networks
985
Impact of voltage sags on three-phase power transformers
986
Impact of voltage scaling on nanoscale SRAM reliability
987
Impact of voltage sourced converter-based back-to-back on the coordination between generator distance phase backup protection and generator steady-state overexcited capability limit
988
Impact of voltage variations on a grid-connected shredder system
989
Impact of voltage-dependent responsivity on photodiode non-linearity
990
Impact of voluntary disclosure on earnings management in Malaysian companies: A proposed analysis
991
Impact of VSC-Based Multiline FACTS Controllers on Distance Protection of Transmission Lines
992
Impact of VSWR and RF losses on electron tube performance
993
Impact of Wafer and Technology Selection on Liner Stress Mobility Enhancement
994
Impact of wafer probe damage on flip chip yields and reliability
995
Impact of wafer surface profile on IC packaging
996
Impact of wafer thinning on High-K Metal Gate 20nm devices
997
Impact of Wage on Labor Productivity in Chinese Construction Industry
998
Impact of waiting time on tourists satisfaction in a theme park: An empirical investigation
999
Impact of wake effect on wind power prediction
1000
Impact of Wakes on Wind Farm Inertial Response
بازگشت