<< مقالات لاتين فني مهندسي >>
<< بر اساس عنوان >>
1
FPGA implementation of optimized independent component analysis processor for biomedical application
2
Fpga implementation of optimized sorting network algorithm for median filters
3
FPGA implementation of optimum distributed sensing for cognitive radio network
4
FPGA Implementation of Orthogonal 2D Digital Predistortion System for Concurrent Dual-Band Power Amplifiers Based on Time-Division Multiplexing
5
FPGA Implementation of Orthogonal Matching Pursuit for Compressive Sensing Reconstruction
6
FPGA implementation of parallel digital image processor
7
FPGA Implementation of Parallel Pipelined Multiplier Less FFT Architecture Based System-On-Chip Design Targetting Multimedia Applications
8
FPGA implementation of parallel turbo-decoders
9
FPGA implementation of parallel unitary-rotation Jacobi EVD method based on Network-on-Chip
10
FPGA Implementation of Particle Filter Based Object Tracking in Video
11
FPGA implementation of particle swarm optimization for inversion of large neural networks
12
FPGA implementation of peak cancellation for PAPR reduction of OFDM signals
13
FPGA implementation of phase shedding with time-optimal controller in multi-phase buck converters
14
FPGA implementation of PingPong-128 stream cipher for Ubiquitous application
15
FPGA implementation of pipelined 2D-DCT and quantization architecture for JPEG image compression
16
FPGA Implementation of Pipelined Architecture for Optical Imaging Distortion Correction
17
FPGA implementation of pipelined architecture for SPIHT algorithm
18
FPGA Implementation of Pipelined Blowfish Algorithm
19
FPGA implementation of pipelined CORDIC based quadrature direct digital synthesizer with improved SFDR
20
FPGA Implementation of Pipelined On-Line Scheme for 3-D Vector Normalization
21
FPGA implementation of PLC programs using automated high-level synthesis tools
22
FPGA Implementation of PN Code Acquisition Based on Software Radio
23
FPGA implementation of PN-sequence generator with binary chaos synchronization
24
FPGA Implementation of Polar Format Algorithm for Airborne Spotlight SAR Processing
25
FPGA implementation of polyphase decomposed FIR filters for interpolation used in Δ-Σ audio DAC
26
FPGA implementation of Power Electronic Converter real-time model
27
FPGA implementation of precoding using low complexity SVD for MIMO-OFDM systems
28
FPGA implementation of predictive control
29
FPGA implementation of principal component regression (PCR) for real-time differentiation of dopamine from interferents
30
FPGA implementation of programmable pulse mode neural network with on chip learning
31
FPGA Implementation of Programmable Pulse Mode Neural Network with on Chip Learning for signature application
32
FPGA Implementation of Pseudo Random Number Generators for Monte Carlo Methods in Quantitative Finance
33
FPGA implementation of Pseudorandom Number Generators with a generic 2-D cellular automata architecture
34
FPGA implementation of PSK modems using partial re-configuration for SDR and CR applications
35
FPGA implementation of PSO-based object tracking system using SSIM
36
FPGA implementation of public key processor for network security
37
FPGA implementation of pulse coupled oscillator
38
FPGA Implementation of Pulse Density Hopfield Neural Network
39
FPGA implementation of PWD generator for multi-channel interferometer radar
40
FPGA Implementation of PWM Control Technique for Three Phase Induction Motor Drive
41
FPGA implementation of PWM pattern generators
42
FPGA implementation of PWM pattern generators [for PWM invertors]
43
FPGA implementation of QAM modems using PR for reconfigurable wireless radios
44
FPGA implementation of QFT based controller for a buck type DC-DC power converter and comparison with fractional and integral order PID controllers
45
FPGA implementation of QR decomposition for MIMO-OFDM using four CORDIC cores
46
FPGA Implementation of Quasi-BLDC Drive
47
FPGA implementation of radix 2 division with over-redundant quotient selection
48
FPGA implementation of Radix-2 FFT processor based on Radix-4 CORDIC
49
FPGA implementation of RANSAC algorithm for real-time image geometry estimation
50
FPGA implementation of rapid PN code acquisition using iterative message passing algorithms
51
FPGA implementation of rate-compatible QC-LDPC code decoder
52
FPGA implementation of RC-4T and WPA
53
FPGA implementation of RDR Manchester and D-Manchester CODEC design for Wireless Transceiver
54
FPGA implementation of Real Time acoustic noise suppression by Spectral Subtraction using Dynamic Moving Average Method
55
FPGA Implementation of Real-Time Edge-Preserving Filter for Video Noise Reduction
56
FPGA implementation of real-time Ethernet communication using RMII interface
57
FPGA Implementation of Real-time Fluorescence Endoscopy Imaging Algorithms
58
FPGA implementation of real-time growcut based object segmentation for chroma-key effect
59
FPGA implementation of real-time head-shoulder detection using local binary patterns, SVM and foreground object detection
60
FPGA implementation of real-time image convolutions with three level of memory hierarchy
61
FPGA implementation of reconfigurable ADPLL network for distributed clock generation
62
FPGA implementation of reconfigurable frequency demodulators
63
FPGA implementation of reconfigurable modulation system
64
FPGA implementation of reliable and energy efficient architecture for a LTE uplink system
65
FPGA implementation of respiration signal classification using a soft-core processor
66
FPGA implementation of retimed low power and high throughput DCT core using NEDA
67
FPGA implementation of Rijndael algorithm using reduced residue of prime numbers
68
FPGA Implementation of RLS Adaptive Filter Using Dichotomous Coordinate Descent Iterations
69
FPGA implementation of robust asynchronous wrappers for Globally-Asynchronous systems (GALS)
70
FPGA implementation of RS232 to Universal serial bus converter
71
FPGA implementation of RSA cryptoalgorithm using shift and carry algorithm
72
FPGA implementation of RSA public-key cryptographic coprocessor
73
FPGA implementation of running DFT for selective harmonics analysis
74
FPGA implementation of RVFTDNN for digital predistortion
75
FPGA implementation of satellite image fusion using wavelet substitution method
76
FPGA implementation of scalable microprogrammed FIR filter architectures using Wallace tree and Vedic multipliers
77
FPGA implementation of scalar multiplication over Fp for elliptic curve cryptosystem
78
FPGA implementation of SC-FDE for 60 GHz WPAN
79
FPGA implementation of second-order difference plot for epileptic seizure detection in EEG signals
80
FPGA implementation of secure image compression with 2D-DCT using Verilog HDL
81
FPGA Implementation of Secure Time Shared Hash Stream Cipher
82
FPGA Implementation of Serial Peripheral Interface of FlexRay Controller
83
FPGA implementation of SHA-1 algorithm
84
FPGA Implementation of SHA-224/256 Algorithm Oriented Digital Signature
85
FPGA implementation of Shaped Offset QPSK modulator
86
FPGA Implementation of Sigma-Delta Modulators in Fractional-N Frequency Synthesis
87
FPGA implementation of simple digital signal processor
88
FPGA implementation of simplified AMTC-PWM algorithm for a single phase voltage source inverter
89
FPGA implementation of sine and cosine value generators using Cordic Algorithm for Satellite Attitude Determination and calculators
90
FPGA implementation of slave communication controller for Modular Multilevel Converter
91
FPGA Implementation of Sliding-Mode-Control Algorithm for Scaled Bilateral Teleoperation
92
FPGA implementation of SNR estimation for DSSS signal of space borne secondary radar
93
FPGA Implementation of Software Defined Radio-Based Flight Termination System
94
FPGA implementation of space vector pulse width modulated Neutral Point Clamped three-level Inverter fed Induction motor drive
95
FPGA implementation of Space Vector PWM algorithm for multilevel inverters using non-orthogonal moving reference frame
96
FPGA implementation of space vector PWM for speed control of 3-phase induction motor
97
FPGA Implementation of Space Vector PWM Technique for Voltage Source Inverter Fed Induction Motor Drive
98
FPGA implementation of space-time block coding systems
99
FPGA implementation of space-time encoders
100
FPGA implementation of spectral analysis stage used in binary mask algorithm for hearing applications
101
FPGA implementation of spectral subtraction for automotive speech recognition
102
FPGA implementation of spectral subtraction for in-car speech enhancement and recognition
103
FPGA implementation of spectrum sensing based on energy detection for Cognitive Radio
104
FPGA implementation of spiking neural networks - an initial step towards building tangible collaborative autonomous agents
105
FPGA Implementation of SRAM-based Ternary Content Addressable Memory
106
FPGA implementation of stereo disparity with high throughput for mobility applications
107
FPGA implementation of stereoscopic image proceesing architecture base on the gray-scale projection
108
FPGA implementation of stochastic flash A-to-D converter and its evaluation
109
FPGA implementation of stream cipher using Toeplitz Hash function
110
FPGA implementation of subband image encoder using discrete wavelet transform
111
FPGA Implementation of Subcarrier Index Modulation OFDM Transceiver
112
FPGA Implementation of Support Vector Machine Based Isolated Digit Recognition System
113
FPGA Implementation of Support Vector Machines with Pseudo-Logarithmic Number Representation
114
FPGA Implementation of SVM Decision Function Based on Hardware-Friendly Kernel
115
FPGA implementation of SVPWM
116
FPGA implementation of SVPWM approximation
117
FPGA implementation of SVPWM control technique for three phase induction motor drive using fixed point realization
118
FPGA implementation of SVPWM technique for asymmetrical six-phase VSI
119
FPGA implementation of synchronous section-carry based carry look-ahead adders
120
FPGA implementation of tabu search for the quadratic assignment problem
121
FPGA implementation of the "pyramids" block cipher
122
FPGA implementation of the 32-point DFT for a wavelet trigger in cosmic rays experiments
123
FPGA implementation of the BH8000 wormhole router
124
FPGA implementation of the bilateral control algorithm for a high performance haptic teleoperation
125
FPGA Implementation of the Bilinear Interpolation Algorithm for Image Demosaicking
126
FPGA implementation of the CAR Model of the cochlea
127
FPGA implementation of the CCSDS-123.0-B-1 lossless Hyperspectral Image compression algorithm prediction stage
128
FPGA Implementation of the C-Mantec Neural Network Constructive Algorithm
129
FPGA implementation of the direct torque control with constant switching frequency of induction motor
130
FPGA implementation of the EKF algorithm for localization in mobile robotics using a unified hardware module approach
131
FPGA implementation of the FDTD data flow machine
132
FPGA Implementation of the Generalized Delayed Signal Cancelation—Phase Locked Loop Method for Detecting Harmonic Sequence Components in Three-Phase Signals
133
FPGA Implementation of the Generalized Hough Transform
134
FPGA implementation of the guided scrambling line coding technique
135
FPGA Implementation of the HySime Algorithm for the Determination of the Number of Endmembers in Hyperspectral Data
136
FPGA implementation of the ICA algorithm using multiplexing
137
FPGA Implementation of the Interior-Point Algorithm with Applications to Collision Detection
138
FPGA implementation of the kernel CMAC
139
FPGA implementation of the LRU algorithm for video compression
140
FPGA Implementation of the LRU Algorithm for Video Compression
141
FPGA implementation of the Lucy-Richardson algorithm for fast space-variant image deconvolution
142
FPGA implementation of the m-ary modular exponentiation
143
FPGA implementation of the MIMO-OFDM physical layer using single FFT multiplexing
144
FPGA implementation of the mix algorithm for state-of-charge estimation of Lithium-ion batteries
145
FPGA implementation of the MMRRS scheduling algorithm for VOQ switches
146
FPGA Implementation of the Multilayer Neural Network for the Speed Estimation of the Two-Mass Drive System
147
FPGA Implementation of the N-FINDR Algorithm for Remotely Sensed Hyperspectral Image Analysis
148
FPGA implementation of the parity check node for min-sum LDPC decoders
149
FPGA Implementation of the Power Electronic Converter Model for Real-Time Simulation of Electromagnetic Transients
150
FPGA implementation of the Predator-prey algorithm with adrenalin boost based on a third-generation of Spiking Neural Network
151
FPGA implementation of the proposed DSI-SLM scheme for PAPR reduction in OFDM systems
152
FPGA implementation of the race-control algorithm for the full-bridge passive resonant commutated poles converter
153
FPGA implementation of the ray tracing algorithm used in the XPATCH software
154
FPGA Implementation of the SMS4 Block Cipher in the Chinese WAPI Standard
155
FPGA implementation of the super-resolution algorithm for plenoptic cameras
156
FPGA implementation of the synchronization for IEEE 802.11n WLAN systems
157
FPGA Implementation of the Ternary Pulse Compression Sequences with Good Discrimination Factor Values
158
FPGA implementation of the timing synchronization in preamble based OFDM system
159
FPGA implementation of the V-disparity based obstacles detection approach
160
FPGA Implementation of Tiger Hash Function
161
FPGA implementation of trellis coded modulation decode on SDR communication system
162
FPGA implementation of trellis coded modulation encode on SDR communication system
163
FPGA Implementation of Trellis Shaping to Control Peak Power for PSK Signals
164
FPGA Implementation of Turbo Product CODEC
165
FPGA implementation of two involutional block ciphers targeted to wireless sensor networks
166
FPGA Implementation of Two SAR Autofocus Algorithms
167
FPGA implementation of two very low complexity LDPC decoders
168
FPGA implementation of type 1 fuzzy inference system for intravenous anesthesia
169
FPGA implementation of type identifier for colorectal endoscopie images with NBI magnification
170
FPGA implementation of unified kernel structure for MDCT/IMDCT in audio coding schemes
171
FPGA implementation of Unipolar SPWM for single phase inverter
172
FPGA implementation of universal asynchronous transmitter and receiver
173
FPGA implementation of universal random number generator
174
FPGA Implementation of USB Transceiver Macrocell Interface with USB2.0 Specifications
175
FPGA implementation of UWB radar signal processing for automotive application
176
FPGA implementation of variants of min-sum algorithm
177
FPGA implementation of V-BLAST detection algorithm in MIMO system
178
FPGA implementation of vector directional distance filter
179
FPGA implementation of vedic floating point multiplier
180
FPGA implementation of very high radix square root with prescaling
181
FPGA Implementation of Viscous Function in a Package for Computational Fluid Dynamics
182
FPGA Implementation of Vision-Based Fingertip-Writing Digits Recognition System
183
FPGA Implementation of Viterbi Decoders for MIMO-BICM
184
FPGA implementation of voice activity detector for efficient speech enhancement
185
FPGA implementation of voltage regulator module for system on chip
186
FPGA implementation of VSCS-LMS algorithm for MEMS Gyroscope
187
FPGA implementation of wavelet packet transform with reconfigurable tree structure
188
FPGA Implementation of Wavelet Transform Based on Lifting Scheme
189
FPGA Implementation of Wideband IQ Imbalance Correction in OFDM Receivers
190
FPGA Implementation of Winny Packets Detection for Mobile Computing
191
FPGA implementation of wireless communication system
192
FPGA Implementation ofFDTD Algorithm
193
FPGA implementation to estimate the number of endmembers in hyperspectral images
194
FPGA implementation using Renoir tools: application for bit timing logic (BTL) synthesis of controller area network with 100% free error
195
FPGA Implementation(s) of a Scalable Encryption Algorithm
196
FPGA implementations evaluation of a vectorial quantifier for speech classification
197
FPGA Implementations of a Parallel Associative Processor with  Multi-Comparand Multi-Search Operations
198
FPGA Implementations of a Simplified Retinex Image Processing Algorithm
199
FPGA Implementations of BCD Multipliers
200
FPGA Implementations of Cellular Automata for Pseudo-Random Number Generation
201
FPGA Implementations of Cube Neutral Key Bits Analysis on Block Cipher EPCBC
202
FPGA implementations of data mining algorithms
203
FPGA implementations of fast fourier transforms for real-time signal and image processing
204
FPGA implementations of fast Fourier transforms for real-time signal and image processing
205
FPGA implementations of HEVC Inverse DCT using high-level synthesis
206
FPGA Implementations of LDPC over GF(2m) Decoders
207
FPGA Implementations of Neural Networks (Ormondi. A.R. and Rajapakse, J.C., Eds.; 2006)
208
FPGA Implementations of Piecewise Affine Functions Based on Multi-Resolution Hyperrectangular Partitions
209
FPGA Implementations of Radix-10 Digit Recurrence Fixed-Point and Floating-Point Dividers
210
FPGA implementations of real-time detectors for a spectrally efficient FDM system
211
FPGA Implementations of SHA-3 Candidates: CubeHash, Grøstl, LANE, Shabal and Spectral Hash
212
FPGA implementations of sorters for non-linear filters
213
FPGA Implementations of the DES and Triple-DES Masked Against Power Analysis Attacks
214
FPGA implementations of the Hummingbird cryptographic algorithm
215
FPGA implementations of the ICEBERG block cipher
216
FPGA implementations of the massively parallel GCA model
217
FPGA Implementations of the Round Two SHA-3 Candidates
218
FPGA Implementaton of Strongly Parallel Histogram Equalization
219
FPGA implemented cut-through vs store-and-forward switches for reliable ethernet networks
220
FPGA implemented decimating filter
221
FPGA implemented fast two´s complement serial-parallel multiplier with PCI interface
222
FPGA implemented multi-level IFPWM power coding for class-S PA in an all-digital GHz LTE transmitter
223
FPGA implemented reduced Ethernet MAC
224
FPGA implemention of UWB-IR receiver for in-body to out-of-body communication performance evaluation
225
FPGA in Rough-Granular Computing: Reduct Generation
226
FPGA in technical resources of medical imaging
227
FPGA in the software radio
228
FPGA integrated co-design
229
FPGA Interconnect Architecture Exploration Based on a Statistical Model
230
Fpga interconnect delay fault testing
231
FPGA interconnect design using logical effort
232
FPGA interconnect fault tolerance
233
FPGA interconnect modeling for lifetime failure detection
234
FPGA interconnect sizing using extended logical effort model
235
FPGA interconnect testing algorithm based on routing-resource graph
236
FPGA interconnect timing library based on the statistical method
237
FPGA IP protection by binding Finite State Machine to Physical Unclonable Function
238
FPGA latency optimization using system-level transformations and DFG restructuring
239
FPGA leakage power reduction using clb-clustering technique
240
FPGA level in-hardware verification for DO-254 compliance
241
FPGA level in-hardware verification for DO-254 compliance
242
FPGA logic design of SATA3.0 physical layer
243
FPGA Logic Devices for Precision Control: An Application to Large Friction Actuators with Payloads
244
FPGA Low Power Technology Mapping for Reuse Module Design under the Time Constraint
245
FPGA low-power implementation of QRS detectors
246
FPGA mapping algorithm based on numerical sequence matching
247
FPGA mapping of sequential circuits with retiming
248
FPGA memory testing technique using BIST
249
FPGA methodology for power analysis of embedded adaptive beamforming
250
FPGA migration to ASICs
251
FPGA model of a high-frequency power electronic converter in an RTDS power system co-simulation
252
FPGA modeling of diverse superscalar processors
253
FPGA Modulator for Matrix Converter
254
FPGA module minimization
255
FPGA Montgomery modular multiplication architectures suitable for ECCs over GF(p)
256
FPGA Montgomery multiplier architectures - a comparison
257
FPGA neural identifier for insulin-glucose dynamics
258
FPGA Neural Networks Implementation for Nuclear Pulses Parameters Estimation
259
FPGA On-Board Computer design based on hierarchical fault tolerance
260
FPGA optimised 3-D cyclic convolution using dynamic partial reconfiguration
261
FPGA optimized packet-switched NoC using split and merge primitives
262
FPGA or Cell for an Image Processing Application
263
FPGA organization for the fast path-based neural branch predictor
264
FPGA oriented design of parity sharing RS codecs
265
FPGA Parallel Implementation of CMAC Type Neural Network with on Chip Learning
266
FPGA parallel-pipelined AES-GCM core for 100G Ethernet applications
267
FPGA partial reconfiguration via configuration scrubbing
268
FPGA particle graphics hardware
269
FPGA partitioning and synthesis of reconfigurable video compression module
270
FPGA partitioning for critical paths
271
FPGA partitioning for rapid prototyping: a 1 million gate design case study
272
FPGA Performance Optimization Via Chipwise Placement Considering Process Variations
273
FPGA performance versus cell granularity
274
FPGA permanent magnet synchronous motor floating-point models with variable-DQ and spatial harmonic Finite-Element Analysis solvers
275
FPGA physical-design automation using Model-Driven Engineering
276
FPGA Pipeline Synthesis Design Exploration Using Module Selection and Resource Sharing
277
FPGA placement and routing using particle swarm optimization
278
FPGA placement by thermodynamic combinatorial optimization
279
FPGA placement by using combined analytical and simulated annealing methods
280
FPGA placement methodology based on grey relational clustering
281
FPGA placement optimization methodology survey
282
FPGA placement using genetic algorithm with simulated annealing
283
FPGA platform for CPU design and applications
284
FPGA platforms leading the way in the application of ‘more than Moore´s’ technology
285
FPGA PLB Architecture Evaluation and Area Optimization Techniques Using Boolean Satisfiability
286
FPGA PLB evaluation using quantified Boolean satisfiability
287
FPGA power and timing optimization: architecture, process, and CAD
288
FPGA power consumption measurements and estimations under different implementation parameters
289
FPGA power efficient inverse lifting wavelet IP
290
FPGA power model for minimizing the thermal dissipation
291
FPGA Power Reduction by Guarded Evaluation Considering Logic Architecture
292
FPGA power reduction by guarded evaluation considering physical information
293
FPGA power reduction using configurable dual-Vdd
294
FPGA processing technology on impact signal
295
FPGA programmable logic block evaluation using quantified Boolean satisfiability
296
FPGA programmable PLL impact on EMC behavior
297
FPGA prototype design of Network on Chips
298
FPGA prototype design of the computation nodes in a cluster based MPSoC
299
FPGA Prototype for WLAN OFDM Baseband with STPE of I/Q Mismatch Self Calibration Algorithm
300
FPGA prototype of a serial interface circuit
301
FPGA Prototype of Low Latency BBS PRNG
302
FPGA prototype of machine learning analog-to-feature converter for event-based succinct representation of signals
303
FPGA Prototype of the REALJava Co-Processor
304
FPGA prototype of visual information hiding
305
FPGA prototype queuing module for high performance ATM switching
306
FPGA Prototyping and Accelerated Verification of ASIPs
307
FPGA prototyping and performance evaluation of multi-standard Turbo/LDPC Encoding and Decoding
308
FPGA prototyping for CORDIC-based OFDM baseband receiver
309
FPGA prototyping of a high data rate LTE uplink baseband receiver
310
FPGA prototyping of a RISC processor core for embedded applications
311
FPGA Prototyping of a Two-Phase Self-Oscillating Micropipeline
312
FPGA prototyping of an ASIP LDPC decoder for the DVB-T2 standard
313
FPGA prototyping of an in-situ reconfigurable filter bank for audio coding
314
FPGA prototyping of digital RF transmitter employing Delta Sigma modulation for SDR
315
FPGA prototyping of energy dispersal and improved error efficiency techniques for DVB-satellite standard
316
FPGA prototyping of large reconfigurable ADPLL network for distributed clock generation
317
FPGA prototyping of single-polarization 112-Gbit/s optical transceiver for optical multilevel signaling with delay detection
318
FPGA Prototyping of Spatio-temporal 2D IIR Broadband Beam Plane-wave Filters
319
FPGA prototyping of vehicle trajectory display for reverse parking - A state of the art survey
320
FPGA Prototyping Strategy for a H.264/AVC Video Decoder
321
FPGA prototyping using behavioral synthesis for improving video processing algorithm and FHD TV SoC design
322
FPGA Prototyping: Untapping Potential within the Multimillion-Gate System-on-Chip Design Space
323
FPGA PUF Based on Programmable LUT Delays
324
FPGA PUF using programmable delay lines
325
FPGA pulse mode implementation of a Gaussian Fuzzy C-Means algorithm
326
FPGA realisation of inverse kinematics for biped robot based on CORDIC
327
FPGA realisation of multiplierless FIR filter architectures
328
FPGA realisation of the genetic algorithm for the design of grey-scale soft morphological filters
329
FPGA realization and performance evaluation of fixed-width modified Baugh-Wooley multiplier
330
FPGA realization of a high-performance servo controller for PMSM
331
FPGA realization of a neural-network-based nonlinear channel equalizer
332
FPGA realization of a novel frontend signal processor for pager decoders
333
FPGA Realization of Activation Function for Artificial Neural Networks
334
FPGA realization of adaptive coding rate trellis-coded 8PSK system
335
FPGA Realization of an Adaptive Fuzzy Controller for PMLSM Drive
336
FPGA realization of an automatic wheeze detector based on wavelet filter bank
337
FPGA realization of an OFDM frame synchronization design for dispersive channels
338
FPGA realization of backpropagation for stock market prediction
339
FPGA realization of DA-based 2D-Discrete Wavelet Transform for the proposed image compression approach
340
FPGA realization of energy detection based on simulation system
341
FPGA Realization of FIR Filters by Efficient and Flexible Systolization Using Distributed Arithmetic
342
FPGA realization of fully systolic and parallel architecture of Montgomery multipliers
343
FPGA realization of GDFT-FB based channelizers
344
FPGA realization of line-interactive uninterruptible power supply
345
FPGA realization of multilevel space vector PWM using non-orthogonal moving reference frame
346
FPGA realization of multi-port SDRAM controller in real time image acquisition system
347
FPGA realization of multipurpose FIR filter
348
FPGA realization of Particle Swarm Optimization algorithm using floating point arithmetic
349
FPGA Realization of Peak-to-Average Power Ratio Reduction Techniques for OFDM Wireless Systems
350
FPGA realization of power quality disturbance detection: an approach with wavelet, ANN and fuzzy logic
351
FPGA realization of reactive power measurement system based on FFT
352
FPGA realization of reactive power measurement system based on phase-shift
353
FPGA realization of RNS to binary signed conversion architecture
354
FPGA realization of space-vector PWM control IC for three-phase PWM inverters
355
FPGA realization of spectrum sensing based on Bayesian framework for cognitive radio network
356
FPGA realization of spectrum sensing techniques for cognitive radio network
357
FPGA realization of the neural speed estimator for the drive system with elastic coupling
358
FPGA realization of three-phase Space-Vector PWM
359
FPGA Realization of Trapezoidal PWM for Generalized Frequency Converter
360
FPGA Realization of Wavelet Transform for Detection of Electric Power System Disturbances
361
FPGA realization of wavelet transform for detection of electric power system disturbances
362
FPGA reconfigurability in the presence of logic and I/O faults
363
FPGA Redundancy Configurations: An Automated Design Space Exploration
364
FPGA redundancy recovery based on partial bitstreams for multiple partitions
365
FPGA remote laboratory for hardware e-learning courses
366
FPGA remote reconfiguration through VME
367
FPGA remote update for nuclear environments
368
FPGA resource and timing estimation from Matlab execution traces
369
FPGA resources for simple heterodyne filter
370
FPGA resources reduction with multiplexing technique for implementation of ANN-based harmonics extraction by mp-q method
371
FPGA routing and routability estimation via Boolean satisfiability
372
FPGA routing architecture analysis under variations
373
FPGA ROUTING ARCHITECTURE OPTIMIZATION
374
FPGA SAR Processor with Window Memory Accesses
375
FPGA SDK for nanoscale architectures
376
FPGA security: From features to capabilities to trusted systems
377
FPGA Security: Motivations, Features, and Applications
378
FPGA segmented channel routing using genetic algorithms
379
FPGA sensorless PMSM drive with adaptive fading extended Kalman filtering
380
FPGA SerDes capability as switch mode PA modulator
381
FPGA Session Control (FSC): Providing Remote Access to a Cluster of FPGAs
382
FPGA signal processing using sigma-delta modulation
383
FPGA Simulation Engine for Customized Construction of Neural Microcircuit
384
FPGA simulation engine for customized construction of neural microcircuits
385
FPGA Simulations of Charge Sharing Effect Compensation Algorithms for Implementation in Deep Sub-Micron Technologies
386
FPGA SoC architecture and runtime to prevent hardware Trojans from leaking secrets
387
FPGA Soft Error Recovery Mechanism with Small Hardware Overhead
388
FPGA Soft-Core Based Step Motor Driving
389
FPGA software testing process management
390
FPGA Specification of a Personal Digital Assistant
391
FPGA structures with concentrated vs distributed memory for images comparison
392
FPGA supercomputing platforms: A survey
393
FPGA support for satellite computations of hyper spectral images
394
FPGA Synchronous Phase Detection System for bioimpedance computing applications
395
FPGA synthesis for minimum area, delay and power
396
FPGA synthesis of area efficient data path for reconfigurable FIR filter
397
FPGA synthesis on the XC6200 using IRIS and Trianus/Hades (or from heaven to hell and back again)
398
FPGA synthesis using function decomposition
399
FPGA synthesis using Look-Up Table and Multiplexor Based architectures
400
Fpga Synthesis With Retiming And Pipelining For Clock Period Minimization Of Sequential Circuits
401
FPGA system-on-chip soft IP design: a reconfigurable DSP
402
FPGA system-on-chip solution for a field oriented hybrid stepper motor control
403
FPGA targeted implementation of a neurofuzzy system for real time TCP/IP traffic classification
404
FPGA technologies in medical equipment: Electrical impedance tomography
405
FPGA Technology Adds Flexibility to Baseband and RF Communications Applications
406
FPGA technology and parallel computing towards automatic microarray image processing
407
FPGA technology mapping optimization by rewiring algorithms
408
FPGA technology mapping: a study of optimality
409
FPGA technology snapshot: current devices and design tools
410
FPGA Technology: Past, Present and Future
411
FPGA test and coverage
412
FPGA Time Measurement Module: Preliminary Results
413
FPGA timing, power, signal integrity and other challenges at 65 and 45 nm
414
FPGA to ASIC conversion design methodology with the support for fast retargetting to different CMOS implementation technologies
415
FPGA transceiver for space environment
416
FPGA Trax Solver based on a neural network design
417
FPGA Trojan Detection Using Length-Optimized Ring Oscillators
418
FPGA Trojans Through Detecting and Weakening of Cryptographic Primitives
419
FPGA usage for power inverters diagnostics
420
FPGA v/s DSP Performance Comparison for a VSC-Based STATCOM Control Application
421
FPGA Vendor Agnostic True Random Number Generator
422
FPGA verification methodology for SiSoC based SoC design
423
FPGA verification of a single QC-LDPC code for 100 Gb/s optical systems without error floor down to BER of 10−15
424
FPGA Vernier Digital-to-Time Converter With 1.58 ps Resolution and 59.3 Minutes Operation Range
425
FPGA versus configurable processors: Selecting the right device for your application
426
FPGA versus DSP for Wavelet transform based Voltage Sags detection
427
FPGA vs DSP: A throughput and power efficiency comparison for Hierarchical Enumerative Coding
428
FPGA vs. GPU for sparse matrix vector multiply
429
FPGA vs. MPPA for Positron Emission Tomography pulse processing
430
FPGA/ASIC based Cryptographic Object Store System
431
FPGA/DSP-based coincidence unit and data acquisition system for the Sherbrooke animal PET scanner
432
FPGA/DSP-based Configurable Multi-Channel Counter
433
FPGA/embedded system training kit targeted to graduate students towards industry level short training
434
FPGA/NIOS implementation of an adaptive FIR filter using linear prediction to reduce narrow band RFI for radio detection of cosmic rays
435
FPGA/NIOS Implementation of an Adaptive FIR Filter Using Linear Prediction to Reduce Narrow-Band RFI for Radio Detection of Cosmic Rays
436
FPGA/soft-processor based real-time object tracking system
437
FPGA/VLSI implementation analysis of PN sequence generator for direct sequence spread spectrum systems
438
FPGA: The future platform for transforming, transporting and computing data
439
FPGA´s middleware for software defined radio applications
440
FPGA2: An open source framework for FPGA-GPU PCIe communication
441
FPGA-accelerated Attractor Computation of Scale Free Gene Regulatory Networks
442
FPGA-Accelerated Baseband Design and Verification of Broadband MIMO Wireless Systems
443
FPGA-accelerated Bayesian learning for reconstruction of gene regulatory networks
444
FPGA-accelerated color edge detection using a Geometric-Algebra-to-Verilog compiler
445
FPGA-accelerated complex event processing
446
FPGA-Accelerated Deformable Image Registration for Improved Target-Delineation During CT-Guided Interventions
447
FPGA-Accelerated Design of Motion Estimation for H.264 HDTV
448
FPGA-Accelerated Hadoop Cluster for Deep Learning Computations
449
FPGA-accelerated Information Retrieval: High-efficiency document filtering
450
FPGA-Accelerated Isotope Pattern Calculator for Use in Simulated Mass Spectrometry Peptide and Protein Chemistry
451
FPGA-accelerated key search for cold-boot attacks against AES
452
FPGA-Accelerated Molecular Dynamics Simulations System
453
FPGA-accelerated Monte-Carlo integration using stratified sampling and Brownian bridges
454
FPGA-Accelerated Object Detection Using Edge Information
455
FPGA-Accelerated Pre-Attentive Segmentation in Primary Visual Cortex
456
FPGA-accelerated real-time volume rendering for 3D medical image
457
FPGA-accelerated retinal vessel-tree extraction
458
FPGA-accelerated seed generation in Mercury BLASTP
459
FPGA-accelerated simulation engine for non-viral gene delivery
460
FPGA-accelerated simulation of truncated-matrix multipliers
461
FPGA-Accelerated Simulation Technologies (FAST): Fast, Full-System, Cycle-Accurate Simulators
462
FPGA-accelerated Simulink simulations of electrical machines
463
FPGA-accelerated sliding window classifier with structured features
464
FPGA-acceleration of cone-beam reconstruction for the X-ray CT
465
FPGA-accelerator system for computing biologically inspired feature extraction models
466
FPGA-aware garbage collection in Java
467
FPGA-base adaptive wavelet neurcontroller design for DC-DC converter
468
FPGA-Based 1.2 GHz Bandwidth Digital Instantaneous Frequency Measurement Receiver
469
FPGA-based 112Gb/s coherent DP-QPSK receiver and multi-stage PMD-PDL emulator for fast evaluation of digital signal processing algorithms
470
FPGA-based 2nd-order sigma-delta A/D converter for current measurement for resonant power converters
471
FPGA-Based 3-D engine for high-speed 3-D measurement based on light-section method
472
FPGA-based 3D median filtering using word-parallel systolic arrays
473
FPGA-Based 40.9-Gbits/s Masked AES With Area Optimization for Storage Area Network
474
FPGA-based acceleration and deceleration control for CNC machine tools
475
FPGA-based Acceleration for Tracking Audio Effects in Movies
476
FPGA-Based Acceleration of Block Matching Motion Estimation Techniques
477
FPGA-based Acceleration of Deep Neural Networks Using High Level Method
478
FPGA-Based Acceleration of Fingerprint Minutiae Matching
479
FPGA-based acceleration of high density myoelectric signal processing
480
FPGA-based acceleration of neural network for ranking in web search engine with a streaming architecture
481
FPGA-based acceleration of the 3D finite-difference time-domain method
482
FPGA-based acceleration of the AutoDock molecular docking software
483
FPGA-Based Acceleration of the Computations Involved in Transcranial Magnetic Stimulation
484
FPGA-based Accelerator Design for RankBoost in Web Search Engines
485
FPGA-based accelerator development for non-engineers
486
FPGA-based accelerator for the verification of leading-edge wireless systems
487
FPGA-based accelerator platform for big data matrix processing
488
FPGA-based Accelerator to Speed-up Seismic Applications
489
FPGA-Based Accelerators for BLAST Families with Multi-Seeds Detection and Parallel Extension
490
FPGA-based acquisition of sensor data
491
FPGA-based adaptive backstepping control system using RBFN for linear induction motor drive
492
FPGA-Based Adaptive Backstepping Sliding-Mode Control for Linear Induction Motor Drive
493
FPGA-based adaptive computing for correlated multi-stream processing
494
FPGA-based adaptive friction compensation for precision control of harmonic drivers
495
FPGA-based adaptive image watermark embedding method and implementation
496
FPGA-based adaptive noise cancellation for ultrasonic NDE application
497
FPGA-Based Adaptive Speckle Suppression Filter for Underwater Imaging Sonar
498
FPGA-based adaptive tracking estimation computer
499
FPGA-based Advanced Digital Signal Inspector for internal signals of pin-limited systems-on-package
500
FPGA-Based Advanced Real Traffic Light Controller System Design
501
FPGA-based algorithm for center of gravity calculation of clustered signals
502
FPGA-Based All Digital Phase-Locked Loop Controlled Induction Heating Power Supply Operating at Optimized ZVS Mode
503
FPGA-based all-digital Software Defined Radio receiver
504
FPGA-based all-digital software defined radio system demonstration
505
FPGA-based all-digital transmitters
506
FPGA-Based Analog Functional Measurements for Adaptive Control in Mixed-Signal Systems
507
FPGA-based approach for organization of SDN switch
508
FPGA-based approach for runway boundary detection in high-resolution colour images
509
FPGA-based architecture for Cognitive Radio handheld devices
510
FPGA-based architecture for fast feature extraction with high resolution
511
FPGA-based architecture for hyperspectral unmixing
512
FPGA-based architecture for real-time IP video and image compression
513
FPGA-based architecture for real-time synaptic plasticity computation
514
FPGA-based architecture of 3-D HWT using distributed arithmetic (DA)
515
FPGA-based architecture of a DS-UWB Channel Estimator and RAKE Receiver employing a hybrid selection scheme
516
FPGA-based architectures of finite radon transform for medical image de-noising
517
FPGA-based artificial neural network for prediction of solar radiation data from sunshine duration and air temperature
518
FPGA-based ASIC design of the three-phase synchronous PWM flyback converter
519
FPGA-based audio signal processing module design in KVM system
520
FPGA-based automated datapath design
521
FPGA-based autonomous parking of a car-like robot using Fuzzy Logic Control
522
FPGA-based autonomous vehicle competitions in a capstone design course
523
FPGA-based bio-cybernetic system for lab-on-a-chip automation
524
FPGA-Based Bit Error Rate Performance Measurement of Wireless Systems
525
FPGA-based BLAST prefiltering
526
FPGA-Based Boundary-Scan Bist
527
FPGA-based broadband current control of a linear motor with Class-G power amplifiers
528
FPGA-Based Broken Bars Detection on Induction Motors Under Different Load Using Motor Current Signature Analysis and Mathematical Morphology
529
FPGA-based built-in testbed for command interpretations and computational load Distribution
530
FPGA-based CDMA switch for networks-on-chip
531
FPGA-Based Channel Simulator for a Wireless Network Emulator
532
FPGA-Based Circuit Model Emulation of Quantum Algorithms
533
FPGA-based circular hough transform with graph clustering for vision-based multi-robot tracking
534
FPGA-based climate controller design for plant tissue culture room
535
FPGA-based cloud detection for real-time onboard remote sensing
536
FPGA-based coherent Doppler processor for marine radar applications
537
FPGA-based colour image classification for mobile robot navigation
538
FPGA-based combined architecture for stream categorization and intrusion detection
539
FPGA-based combined PWM-PFM technique to control DC-DC converters
540
FPGA-based communication module for control of power inverters and drives
541
FPGA-Based Communication Module in Numerical Control System with Software Hardening Technology
542
FPGA-based compact and flexible architecture for real-time embedded vision systems
543
FPGA-Based Compensator of Hysteretic Actuator Nonlinearities for Highly Dynamic Applications
544
FPGA-based compression of streaming x-ray photon correlation spectroscopy data
545
FPGA-based computation of free-form deformations
546
FPGA-based computation of free-form deformations in medical image registration
547
FPGA-Based Computed Force Control System Using Elman Neural Network for Linear Ultrasonic Motor
548
FPGA-based computing in computer vision
549
FPGA-based concurrent watchdog for real-time control systems
550
FPGA-Based Configurable Frequency-Diverse Ultrasonic Target-Detection System
551
FPGA-based conformance testing and system prototyping of an MPEG-4 SA-DCT hardware accelerator
552
FPGA-based content protection system for embedded consumer electronics
553
FPGA-based control architecture integration for multiple-axis tracking motion systems
554
FPGA-Based Control of a PFC Converter
555
FPGA-based control of modular multilevel converters: Modeling and experimental evaluation
556
FPGA-based control of power converter: comparing alternative solutions
557
FPGA-based Control of STATCOM using a Compact SVPWM Algorithm
558
FPGA-Based Control System for 6-UPS Medical Parallel Robot
559
FPGA-based Control System for Miniature Robots
560
FPGA-based control system processing with ΔΣ modulation
561
FPGA-based Controller for Haptic Devices
562
FPGA-based controller for mitigation of the 100 Hz oscillation in grid connected PV systems
563
FPGA-based Controllers
564
FPGA-based controllers for switching converters
565
FPGA-based controllers for switching converters
566
FPGA-Based Co-processor for Singular Value Array Reconciliation Tomography
567
FPGA-based coprocessor for text string extraction
568
FPGA-based CPG Robot Locomotion Modulation Using a Fuzzy Scheme and Visual Information
569
FPGA-based CRAIMOT basis function generator
570
FPGA-based critical computing: TEMPUS and FP7 projects issues
571
FPGA-based cryptosystem with combined stream-block cipher and digital chaos generator
572
FPGA-based current controller for high-speed communication and real-time control system
573
FPGA-Based Current Controllers for AC Machine Drives—A Review
574
FPGA-based customizable systolic architecture for image processing applications
575
FPGA-based DAQ system for multi-channel detectors
576
FPGA-based data acquisition system
577
FPGA-based data compressor based on prediction by partial matching
578
FPGA-based DDR3 DRAM interface using bulk-Si optical interconnects
579
FPGA-Based Decentralized Control of Arrayed MEMS for Microrobotic Application
580
FPGA-based decoupled double synchronous reference frame PLL for active power filters
581
FPGA-based delay compensation on model predictive control for a PM synchronous machine
582
FPGA-based denoising and beat detection of the ECG signal
583
FPGA-based design and implementation of a multi-GBPS LDPC decoder
584
FPGA-based design and implementation of a phase detector to correct the I/Q imbalance
585
FPGA-based design and implementation of an approximate polynomial matrix EVD algorithm
586
FPGA-Based Design and Implementation of Arbitrary Waveform Generator
587
FPGA-based design and implementation of direct torque control for induction machines
588
FPGA-Based Design and Implementation of Reduced AES Algorithm
589
FPGA-based design and implementation of spread-spectrum schemes for conducted-noise reduction in DC-DC converters
590
FPGA-based design and implementation of the 3GPP-LTE physical layer using parameterized synchronous dataflow techniques
591
FPGA-based Design Approaches of Keccak Hash Function
592
FPGA-based design of a high-performance and modular video processing platform
593
FPGA-based Design of a Large Moduli Multiplier for Public-Key Cryptographic Systems
594
FPGA-Based Design of a Pulsed-OFDM System
595
FPGA-based design of a step-up photovoltaic array emulator for the test of PV grid-connected inverters
596
FPGA-based design of an Automatic Tracking Observer for grid synchronization
597
FPGA-Based Design of Grid Friendly Appliance Controller
598
FPGA-based design on control circuit of electricity-powered toy car
599
FPGA-Based Design Using the FASTER Toolchain: The Case of STM Spear Development Board
600
FPGA-based design, implementation, and evaluation of digital sinusoidal generators
601
FPGA-Based Detailed Real-Time Simulation of Power Converters and Electric Machines for EV HIL Applications
602
FPGA-based detection of QRS complexes in ECG signal
603
FPGA-based devices for random waveform generation and stepped delay signal processing
604
FPGA-based digital control for boost converters with power factor correction
605
FPGA-based digital control implementation of a power converter for teaching purposes
606
FPGA-based digital current mode controller for phase-shifted full-bridge PWM converter
607
FPGA-based digital direct-conversion transceiver for Nuclear Magnetic Resonance Systems
608
FPGA-Based Digital Network Analyzer for Digitally Controlled SMPS
609
FPGA-based digital phase difference meter
610
FPGA-based Digital Phase-Sensitive Demodulator for EIT System
611
FPGA-based digital predistortion of A 3.5 GHz GaN Doherty power amplifier
612
FPGA-Based Digital Pulse Width Modulator With Optimized Linearity
613
FPGA-Based Digital Pulsewidth Modulator With Time Resolution Under 2 ns
614
FPGA-based digital signal processing algorithm research
615
FPGA-Based Digital Signal Processing Trainer
616
FPGA-based digital voltage-current controller for a buck converter
617
FPGA-based digital-controlled power converter designed with universal input meeting 80 plus platinum efficiency code and standby power code for sever power applications
618
FPGA-based digital-controlled power converter with universal input meeting 80 Plus platinum efficiency code and standby power code for sever power applications
619
FPGA-based digit-serial complex number multiplier-accumulator
620
FPGA-based direct resistance and capacitance measurements
621
FPGA-based discrete ambiguity function for stochastic linear time-variant channels
622
FPGA-Based Distributed Computing Microarchitecture for Complex Physical Dynamics Investigation
623
FPGA-based DPWM for digitally controlled high-frequency DC-DC SMPS
624
FPGA-Based DSP - It´s About Time
625
FPGA-based DSP implementation of simple MRC beamformer
626
FPGA-Based Dual-Mode Traffic Lights System Design
627
FPGA-Based Dynamic Reconfiguration of Sliding Mode Current Controllers for Synchronous Machines
628
FPGA-based dynamically reconfigurable control of induction motor drives
629
FPGA-Based Educational Platform for Wireless Transmission Using System Generator
630
FPGA-Based Efficient Design Approach for Large-Size Two´s Complement Squarers
631
FPGA-based efficient modular multiplication for Elliptic Curve Cryptography
632
FPGA-based efficient two-path polyphase multistage half-band decimated and multi-band filters
633
FPGA-based elman neural network control system for linear ultrasonic motor
634
FPGA-based embedded hand vein biometric authentication system
635
FPGA-based embedded Logic Controllers
636
FPGA-based embedded signal processing for 3D ultrasound computer tomography
637
FPGA-Based Embedded Signal Processing for 3-D Ultrasound Computer Tomography
638
FPGA-based embedded speed limit enforcement system on freeway
639
FPGA-based embedded system architecture for power quality measurements
640
FPGA-based embedded system design
641
FPGA-Based Embedded System Education
642
FPGA-based embedded system for ultrasonic positioning
643
FPGA-based embedded system implementation of finger vein biometrics
644
FPGA-Based Embedded Tester with a P1687 Command, Control, and Observe-System
645
FPGA-based embedded visual servoing platform for quick response visual servoing
646
FPGA-based emulation system dedicated to the test of specific PWM strategies for automotive VSI inverter
647
FPGA-based emulator for functional verification of radio frequency interference mitigation algorithms
648
FPGA-based energy spectrum measurement system design
649
FPGA-based Equivalent Simulation Technology (FEST) for clustered stream architecture
650
FPGA-Based Expanded Circuit Design for DSP Signal Processing
651
FPGA-based explicit model predictive control for closed-loop control of intravenous anesthesia
652
FPGA-Based Fast Detection With Reduced Sensor Count for a Fault-Tolerant Three-Phase Converter
653
FPGA-based fast gamma-ray time mark estimator for ultra-miniature endoscopic PET applications
654
FPGA-based fast image warping with data-parallelization schemes
655
FPGA-Based Fast Response Image Analysis for Autonomous or Semi-autonomous Indoor Flight
656
FPGA-based fault emulation of synchronous sequential circuits
657
FPGA-based fault injection for microprocessor systems
658
FPGA-Based Fault Injection into Synthesizable Verilog HDL Models
659
FPGA-based fault simulator
660
FPGA-based fault tolerant scheme with reduced extra-sensor number for WECS with DFIG
661
FPGA-based fault-tolerant current controllers for induction machine
662
FPGA-Based FDI of Faulty Current Sensor in Current Controlled PWM Converters
663
FPGA-based fine grain processor array design considerations
664
FPGA-based finger vein biometric system with adaptive illumination for better image acquisition
665
FPGA-based FIR filters using digit-serial arithmetic
666
FPGA-based floating-point data acquisition system with automatic-gain-control and peak-detection for multi-channel electrochemical measurement
667
FPGA-based floating-point UD filter coprocessor for integrated navigation systems
668
FPGA-Based for Implementation of Multi-Serials to Ethernet Gateway
669
FPGA-based fractional Brownian motion signal-patterns for optial paket generation
670
FPGA-based framework for dynamic visual servoing of robot manipulators
671
FPGA-based fuzzy PK controller and image processing system for small-sized humanoid robot
672
FPGA-based fuzzy PWM control implementation for hybrid system
673
FPGA-based fuzzy sliding mode control for sensorless PMSM drive
674
FPGA-based fuzzy sliding-mode control for a linear induction motor drive
675
FPGA-based gait control system for passive bipedal robot
676
FPGA-based generalized scalar pulse-width-modulation for matrix converters
677
FPGA-based generation of autowaves in Memristive Cellular Neural Networks
678
FPGA-based generic neural network architecture
679
FPGA-based GPS application system design
680
FPGA-based H.264 Video Decoder in RTP payload format
681
FPGA-based hardware acceleration: A CPU/accelerator interface exploration
682
FPGA-based hardware accelerator of the heat equation with applications on infrared thermography
683
FPGA-based hardware architecture for neural networks: binary radix vs. stochastic
684
FPGA-based hardware for physical modelling sound synthesis by finite difference schemes
685
FPGA-based hardware implementation of optical flow constraint equation of Horn and Schunck
686
FPGA-based hardware in the loop validation for fault tolerant three-phase active filter
687
FPGA-based hardware/software implementation for MIMO wireless communications
688
FPGA-based hardware-in-the-loop simulation of a rectifier with power factor correction
689
FPGA-based hardware-in-the-loop verification of dual-stage HDD head position control
690
FPGA-based harmonic computation through 1-bit data stream signals from delta-sigma modulators applied to induction heating appliances
691
FPGA-based Hierarchical finite-states predictive control for PMSM drives
692
FPGA-based high accuracy burst carrier frequency measurement method
693
FPGA-Based High Area Efficient Time-To-Digital IP Design
694
FPGA-based high performance bilateral control of different master-slave mechanism using highorder disturbance observer
695
FPGA-based high performance page layout segmentation
696
FPGA-based high resolution synchronous conversion application in multiple sensor systems
697
FPGA-based high resolution synchronous digital pulse width modulator
698
FPGA-based high throughput XTS-AES encryption/decryption for storage area network
699
FPGA-Based High-Performance and Scalable Block LU Decomposition Architecture
700
FPGA-Based High-Performance Force Control System With Friction-Free and Noise-Free Force Observation
701
FPGA-based high-precision network time synchronization research and implementation
702
FPGA-based high-speed emulator of quantum computing
703
FPGA-based High-speed True Random Number Generator for Cryptographic Applications
704
FPGA-based high-throughput and area-efficient architectures of the Hummingbird cryptography
705
FPGA-based HPC application design for non-experts
706
FPGA-based HPC application design for non-experts
707
FPGA-based hyperspectral covariance coprocessor for size, weight, and power constrained platforms
708
FPGA-Based IC design for 3-phase PWM inverter with optimized space vector modulation schemes
709
FPGA-based IC design for inverter with vector modulation technique
710
FPGA-based image combiner for parallel rendering
711
FPGA-based image compression for low-power Wireless Camera Sensor Networks
712
FPGA-based image processing system for Quality Control and Palletization applications
713
FPGA-based image processing system for remote robot control
714
FPGA-Based Image Processor Architecture for Wireless Multimedia Sensor Network
715
FPGA-based implementation alternatives for keyed-hash message authentication code in networked embedded systems
716
FPGA-based implementation and comparison of recursive and iterative algorithms
717
FPGA-Based Implementation and Performance of the Global and Local Algorithms for the Gens Alignment
718
FPGA-based implementation by direct torque control of a PMSM machine
719
FPGA-based implementation of 3-D Daubechies for medical image compression
720
FPGA-based implementation of a CFAR processor using Batcher´s sort and LUT arithmetic
721
FPGA-based Implementation of a Correlator for Kasami Sequences
722
FPGA-based Implementation of a DDM-generator for GPS-reflectometry
723
FPGA-based implementation of a filter bank-based transmultiplexer for multicarrier communications
724
FPGA-based implementation of a low cost and area real-time motion detection
725
FPGA-based Implementation of a Polarimetric Radiometer with Digital Beamforming
726
FPGA-Based Implementation of a Predictive Current Controller for Power Converters
727
FPGA-based implementation of a real-time 5000-word continuous speech recognizer
728
FPGA-based implementation of a real-time timing measuring device
729
FPGA-based implementation of a robust IEEE-754 exponential unit
730
FPGA-based implementation of a serial RSA processor
731
FPGA-Based Implementation of an Adaptive Canceller for 50/60-Hz Interference in Electrocardiography
732
FPGA-based implementation of an adaptive notch filter used for grid synchronization of grid-connected converters
733
FPGA-based implementation of an adaptive P&O MPPT controller for PV applications
734
FPGA-based Implementation of an Ultrasonic Beacon for a Local Positioning System
735
FPGA-based implementation of binary input compressive sensing decoder
736
FPGA-based implementation of channel-blind adaptive equalizers
737
FPGA-based implementation of comb filters using sequential multiply-accumulate operations for use in binaural hearing aids
738
FPGA-based implementation of digital control for a magnetic bearing
739
FPGA-based implementation of DTSFC and DTRFC algorithms
740
FPGA-based Implementation of Efficient Sample Rate Conversion for Software Defined Radios
741
FPGA-based implementation of hardware technology on Generic Algorithms
742
FPGA-based implementation of Horner´s rule on a high performance heterogeneous computer
743
FPGA-Based implementation of IncCond algorithm for photovoltaic applications
744
FPGA-based implementation of M4RM for matrix multiplication over GF(2)
745
FPGA-Based Implementation of Multiple Modes in Near Field Inductive Communication Using Frequency Splitting and MIMO Configuration
746
FPGA-based implementation of Prony demodulation in the multi-frequency EIT system
747
FPGA-Based Implementation of RAM with Asymmetric Port Widths for Run-Time Reconfiguration
748
FPGA-based implementation of segmented predistorters for RF power amplifiers
749
FPGA-based implementation of sensorless AC drive controllers for embedded electrical systems
750
FPGA-based Implementation of Signal Processing Systems (Woods, R. et al: 2008) [Book review]
751
FPGA-based implementation of Synchronous Petri Nets
752
FPGA-based implementation of the back-EMF symmetric-threshold-tracking sensorless commutation method for Brushless DC-machines
753
FPGA-based implementation of variable sized structuring elements for 2D binary morphological operations
754
FPGA-based implementation with simulation of structue Direct Torque Control of a PMSM
755
FPGA-based improved high brightness RGB LED matrix automation
756
FPGA-based improvement of classical current tracking methods for high-frequency power converters
757
FPGA-based induction heating with variable modulus control all-digital Phase-Locked Loop research
758
FPGA-based instantaneous estimation of unbalance/symmetrical components through the Hilbert transform
759
FPGA-Based Instrument for Satellite Beacon Monitoring on Propagation Experiments
760
FPGA-Based Instrumentation for the Fermilab Antiproton Source
761
FPGA-based intelligent power regulator IC design for forward DC-DC converters
762
FPGA-Based Intelligent-Complementary Sliding-Mode Control for PMLSM Servo-Drive System
763
FPGA-based interface for control of a hybrid micropositioning stage
764
FPGA-based Internet protocol firewall chip
765
FPGA-based Internet Protocol Version 6 router
766
FPGA-based islanding detection for grid connected inverter
767
FPGA-based K-means clustering using tree-based data structures
768
FPGA-Based Label Processor for Low Latency and Large Port Count Optical Packet Switches
769
FPGA-Based Laboratory Assignments for NoC-Based Manycore Systems
770
FPGA-based laser cladding system with increased robustness to optical defects
771
FPGA-based latency-insensitive OFDM pipeline for wireless research
772
FPGA-based LDPC Code Evaluation using an Advanced Magnetic Recording Channel Model
773
FPGA-based Li-battery-series charger with energy recycling considered
774
FPGA-based load balancer for Internet servers
775
FPGA-Based Lookup Circuit for Session-Based IP Packet Classification
776
FPGA-based lossless compressors of floating-point data streams to enhance memory bandwidth
777
FPGA-Based Lossless Data Compression using Huffman and LZ77 Algorithms
778
FPGA-based low-complexity high-throughput tri-mode decoder for quasi-cyclic LDPC codes
779
FPGA-based low-cost automatic test equipment for digital integrated circuits
780
FPGA-based Low-cost System for Automatic Tests on Digital Circuits
781
FPGA-Based Low-level CAN Protocol Testing
782
FPGA-based machine vision implementation for Lab-on-Chip flow detection
783
FPGA-based match filter implementation in frequency domain using an overlap-add method
784
FPGA-based matrix inversion using an iterative Chebyshev-type method in the context of compressed sensing
785
FPGA-based measurement and evaluation of power analysis attack resistant asynchronous S-Box
786
FPGA-based Measurement Instrument for Power Quality Monitoring according to IEC Standards
787
FPGA-based measurement of melt pool size in laser cladding systems
788
FPGA-based media converter for FSO links
789
FPGA-based military avionics computing circuits
790
FPGA-based mimicking of cryptographic device hacking through fault injection attacks
791
FPGA-based MIMO system for Wireless Sensor Network
792
FPGA-based MIMO testbed for LTE applications
793
FPGA-based Mixed-Criticality Execution Platform for SystemJ and the Internet of Industrial Things
794
FPGA-based model predictive current controller for 3×3 direct matrix converter
795
FPGA-based modulator design for five-level inverter control with optimized pulse patterns
796
FPGA-based modulator for multi-phase interleaved DC/DC converters
797
FPGA-based module design for PM linear motor control-applied to music playing robot
798
FPGA-Based Module for Image Preprocessing
799
FPGA-based Monte Carlo Computation of Light Absorption for Photodynamic Cancer Therapy
800
FPGA-based motion controller using CAN
801
FPGA-based motion controller with a high bandwidth current regulator
802
FPGA-based motion controller with real-time look-ahead function
803
FPGA-based MPEG2 decoder
804
FPGA-based MSB-first bit-serial variable block size motion estimation processor
805
FPGA-based M-sequence ground penetrating radar
806
FPGA-based MSK DS-SS modulator for digital satellite communications
807
FPGA-based multi-channel CRC generator implementation
808
FPGA-based multi-channel DAQ systems with external PCI express link to GPU compute servers
809
FPGA-based multichannel data acquisition system for prototype in-beam PET
810
FPGA-based multi-frequency excitation and modulation technology in EIT system
811
FPGA-Based Multigrid Computation for Molecular Dynamics Simulations
812
FPGA-based multi-level inverter multi-carrier pulse generation theory and implementation method
813
FPGA-based multilevel modulations for H-bridge-based converters
814
FPGA-based multi-phase digital pulse width modulator with dual-edge modulation
815
FPGA-Based Multiple-Channel Vibration Analyzer for Industrial Applications in Induction Motor Failure Detection
816
FPGA-based multispectral fluorometer using CDMA and embedded neural network
817
FPGA-Based Multi-Wave Pulse High Power Factor Power Supply
818
FPGA-based Networking Systems for High Data-rate and Reliable In-vehicle Communications
819
FPGA-based network-resonance applebaum adaptive arrays for directional spectrum sensing
820
FPGA-based neural fuzzy controller design for PMLSM drive
821
FPGA-based Neural Network for Nonuniformity Correction on Infrared Focal Plane Arrays
822
FPGA-based neural network for simulation of photovoltaic array: application for estimating the output power generation
823
FPGA-based neuro-architecture intrusion detection system
824
FPGA-based neuromorphic computing system with a scalable routing network
825
FPGA-based NoC-driven sequence of lab assignments for manycore systems
826
FPGA-based non-binary QC-LDPC coding for high-speed coherent optical transmission
827
FPGA-based object detection and classification inside scanning electron microscopes
828
FPGA-based object-extraction based on multimodal Σ-Δ background estimation
829
FPGA-based on-board multi/hyperspectral image compression system
830
FPGA-Based Online Detection of Multiple Combined Faults in Induction Motors Through Information Entropy and Fuzzy Inference
831
FPGA-Based Online Detection of Multiple-Combined Faults through Information Entropy and Neural Networks
832
FPGA-Based Online Induction Motor Multiple-Fault Detection with Fused FFT and Wavelet Analysis
833
FPGA-based online-learning using parallel genetic algorithm and neural network for ECG signal classification
834
FPGA-based Optical Distortion Correction for Imaging Systems
835
FPGA-based optical network function programmable node
836
FPGA-based optical programmable switch and interface card for disaggregated OPS/OCS data centre networks
837
FPGA-based optical transmitters for electronic predistortion and advanced signal format generation
838
FPGA-based optimized architecture for face recognition using fixed point Householder algorithm
839
FPGA-based parallel ASIP architecture for reactive systems
840
FPGA-based parallel calculation of focus function
841
FPGA-Based Parallel DNA Algorithm for Optimal Configurations of an Omnidirectional Mobile Service Robot Performing Fire Extinguishment
842
FPGA-Based Parallel Hardware Architecture for Real-Time Image Classification
843
FPGA-Based Parallel Pattern Matching Algorithm for Network Intrusion Detection System
844
FPGA-Based Particle Recognition in the HADES Experiment
845
FPGA-based path planning using improved Ant Colony Optimization Algorithm
846
FPGA-based path-planning of high mobility rover for future planetary missions
847
FPGA-based pedestrian detection under strong distortions
848
FPGA-based pedestrian detection using array of covariance features
849
FPGA-Based Phase-Shift ZVS Full-Bridge DC-DC Converter Using One-Comparator Counter-Based PWM Control Strategy
850
FPGA-based PID controller for DC-DC converter
851
FPGA-based Pipeline Architecture to Transform Cartesian Images into Foveal Images by Using a new Foveation Approach
852
FPGA-Based Platform Development for Change Detection in GTAW Welding Process
853
FPGA-Based Platform for Image and Video Processing Embedded Systems
854
FPGA-Based Platform for Real-Time Internet
855
FPGA-based PMSM servo system with improved low-speed performance
856
FPGA-Based Power Measuring for Induction Heating Appliances Using Sigma–Delta A/D Conversion
857
FPGA-based predictive current control of a three-phase active front end rectifier
858
FPGA-Based Predictive Current Controllerfor Synchronous Machine Speed Drive
859
FPGA-Based Predictive Sliding Mode Controller of a Three-Phase Inverter
860
FPGA-based programmable digital PLL with very high frequency resolution
861
FPGA-Based Protection Scheme against Hardware Trojan Horse Insertion Using Dummy Logic
862
FPGA-based prototyping systems for emerging memory technologies
863
FPGA-based pulse parameter discovery for positron emission tomography
864
FPGA-based pulse pileup correction
865
FPGA-Based Pulse Pile-Up Correction With Energy and Timing Recovery
866
FPGA-Based Pulse-Oriented Digital Acquisition System For Nuclear Detectors
867
FPGA-based pulse-width modulation control for single-phase multilevel inverter
868
FPGA-based PV systems fuzzy MPPT control algorithm
869
FPGA-Based Quadrature Mirror Filters for DSP Applications
870
FPGA-based quantum circuit emulation: A case study on Quantum Fourier transform
871
FPGA-based Radar Signal Processing for Automotive Driver Assistance System
872
FPGA-based radio-on-demand broadcast receiver with musical genre identification
873
FPGA-based radix-4 butterflies for HIPERLAN/2
874
FPGA-based random pulse generator for emulation of a neutron detector system in a nuclear reactor
875
FPGA-based random PWM with real-time dead time compensation
876
FPGA-based rapid prototyping of digital signal processing systems
877
FPGA-based rapid prototyping platform for MIMO-BICM design space exploration
878
FPGA-based real time extraction of visual features
879
FPGA-based real time incremental conductance maximum power point tracking controller for photovoltaic systems
880
FPGA-based real time processing of the plenoptic wavefront sensor for the european solar telescope (EST)
881
FPGA-based realization of multi-sensor access
882
FPGA-based realization of self-optimizing drive-controllers
883
FPGA-based real-time acoustic camera prototype
884
FPGA-based real-time acoustic camera using pdm mems microphones with a custom demodulation filter
885
FPGA-based real-time calculation of the harmonic impedance of series resonant inductive loads
886
FPGA-based real-time citrus classification system
887
FPGA-based real-time digital beamformer for ultrasonic elastography
888
FPGA-based real-time disparity computation and object location
889
FPGA-Based Real-Time EMTP
890
FPGA-based real-time EMTP
891
FPGA-based real-time emulation of induction motor using fixed point representation
892
FPGA-Based Real-Time Emulation of Power Electronic Systems With Detailed Representation of Device Characteristics
893
FPGA-based real-time emulation of power electronic systems with detailed representation of device characteristics
894
FPGA-based real-time Hardware-In-the-Loop simulator of a mini solar power station
895
FPGA-based Real-Time Hardware-In-the-Loop validation of a 3-phase PWM rectifier controller
896
FPGA-based real-time harmonic impedance measurement of series resonant loads by using lock-in algorithm
897
FPGA-Based Real-Time Image Segmentation for Medical Systems and Data Processing
898
FPGA-based real-time implementation of distributed system CA-CFAR and Clutter MAP-CFAR with noncoherent integration for radar detection
899
FPGA-based real-time MFCC extraction for automatic audio indexing on FM broadcast data
900
FPGA-based real-time moving object detection for walking robots
901
FPGA-based real-time object tracker using modified particle filtering and SAD computation
902
FPGA-based real-time object tracking for mobile robot
903
FPGA-based real-time optical-flow system
904
FPGA-Based Real-Time Pedestrian Detection on High-Resolution Images
905
FPGA-Based Real-Time Power Converter Failure Diagnosis for Wind Energy Conversion Systems
906
FPGA-based real-time simulation of a Dual Three-Phase Induction Machine
907
FPGA-based real-time simulation of a full bridge-RL load SPWM inverter
908
FPGA-based real-time simulation of a PSIM model: An indirect matrix converter case study
909
FPGA-based real-time simulation of fault tolerant current controllers for power electronics
910
FPGA-Based Real-Time Simulation of Finite-Element Analysis Permanent Magnet Synchronous Machine Drives
911
FPGA-based real-time simulation of nonlinear permanent magnet synchronous machines for power hardware-in-the-loop emulation systems
912
FPGA-based real-time simulation of sensorless control of PMSM drive at standstill
913
FPGA-based real-time simulation of state-space models using floating-point cores
914
FPGA-based real-time visual tracking system using adaptive color histograms
915
FPGA-based reconfigurable computer systems
916
FPGA-Based Reconfigurable Computing for Pricing Multi-asset Barrier Options
917
FPGA-Based Reconfigurable Control for Fault-Tolerant Back-to-Back Converter Without Redundancy
918
FPGA-Based Reconfigurable Hardware for Compute Intensive Data Mining Applications
919
FPGA-based reconfigurable processor for ultrafast interlaced ultrasound and photoacoustic imaging
920
FPGA-based reconfigurable unit for image filtering in frequency domain
921
FPGA-based reconfigurable unit for real-time power quality index estimation
922
FPGA-based rectification of stereo images
923
FPGA-Based Recurrent Wavelet Neural Network Control System for Linear Ultrasonic Motor
924
FPGA-based reliable TMR controller design for S2A architectures
925
FPGA-based remote pulse rate detection using photoplethysmographic imaging
926
FPGA-Based Remote-Code Integrity Verification of Programs in Distributed Embedded Systems
927
FPGA-based remotely sensed imagery denoising
928
FPGA-based resonant-frequency-tracking power amplifier for ultrasonic transducer
929
FPGA-based RF spectrum merging and adaptive hopset selection
930
FPGA-based Road Traffic Videodetector
931
FPGA-based robust ellipse estimation for circular road sign detection
932
FPGA-based Router Virtualization: A Power Perspective
933
FPGA-Based Runtime Adaptive Multiprocessor Approach for Embedded High Performance Computing Applications
934
FPGA-Based SAT Solver
935
FPGA-based SAT solver architecture with near-zero synthesis and layout overhead
936
FPGA-Based Self-Calibrating Time-to-Digital Converter for Time-of-Flight Experiments
937
FPGA-based self-tuning PID controller using RBF neural network and its application in X-Y table
938
FPGA-based sensor processing algorithms design and testing
939
FPGA-based sensorless control of brushless synchronous starter generator at standstill and low speed using high frequency signal injection for an aircraft application
940
FPGA-based Sensorless controller for Synchronous Machine using an Extended Kalman Filter
941
FPGA-based sensorless PMSM drive using parallel reduced-order Extended Kalman Filter
942
FPGA-based sensorless PMSM speed control using adaptive extended Kalman filter
943
FPGA-Based Sensorless PMSM Speed Control Using Reduced-Order Extended Kalman Filters
944
FPGA-based serial links for SuperB: Design Issues Vs. Radiation Tolerance
945
FPGA-based servo control and three-dimensional dynamic interpolation
946
FPGA-based Servo Control IC for PMLSM Drives with Adaptive Fuzzy Control
947
FPGA-based Servo Control IC for X-Y Table
948
FPGA-based set-up for RF power amplifier dynamic supply with real-time digital adaptive predistortion
949
FPGA-based SHA-3 acceleration on a 32-bit processor via instruction set extension
950
FPGA-based signal control for highly integrated 60 GHz radar distance measurements
951
FPGA-based signal processing of an automotive radar sensor
952
FPGA-based SIMD processor
953
FPGA-based simulation of 3D light propagation
954
FPGA-based simulation of power electronics using iterative methods
955
FPGA-based simultaneous multichannel fm broadcast receiver for audio indexing applications in consumer electronics scenarios
956
FPGA-based single precision iterative floating point multiplier for educational use
957
FPGA-Based Single-Chip Servo System for Five Axes Actuators
958
FPGA-based single-phase PWM rectifiers with high power factor
959
FPGA-based singles and coincidences processing pipeline for integrated digital PET/MR detectors
960
FPGA-based Sleep Apnea Screening Device for Home Monitoring
961
FPGA-based sliding mode direct power control of three-phase PWM boost rectifier
962
FPGA-based Smart Camera for 3D wavelet-based image segmentation
963
FPGA-based smart camera mote for pervasive wireless network
964
FPGA-based smart sensor implementation with precise frequency to digital converter for flow measurement
965
FPGA-based smart-sensor for fault detection in VSD-fed induction motors
966
FPGA-based SoC for real-time network intrusion detection using counting bloom filters
967
FPGA-based SoC for transcoding H264/AVC-SVC with low latency and high bitrate entropy coding
968
FPGA-based software profiler for Hardware/Software co-design
969
FPGA-Based Solid-State Drive Prototyping Platform
970
FPGA-based sound synthesis by digital waveguide
971
FPGA-based space vector PWM with Artificial Neural Networks
972
FPGA-based spectral envelope preprocessor for power monitoring and control
973
FPGA-Based Spectrum Analyzer with High Area Efficiency by Goertzel Algorithm
974
FPGA-Based Speed Control IC for PMSM Drive With Adaptive Fuzzy Control
975
FPGA-Based Speed Control of Synchronous Machine using a P-PI Controller
976
FPGA-Based Spread-Spectrum Schemes for Conducted-Noise Mitigation in DC–DC Power Converters: Design, Implementation, and Experimental Investigation
977
FPGA-based state encoding using symbolic functional decomposition
978
FPGA-based static analysis tool for detecting malicious binaries
979
FPGA-based stereo vision system using census transform for autonomous mobile robot
980
FPGA-based stochastic neural networks-implementation
981
FPGA-based Streaming Computation for Lattice Boltzmann Method
982
FPGA-based string matching
983
FPGA-based structures for on-line FFT and DCT
984
FPGA-Based Subset Sum Delay Lines
985
FPGA-based SVPWM control IC for 3-phase PWM inverters
986
FPGA-based SVPWM trigger generator for a 3φ voltage source inverter
987
FPGA-based Switched Reluctance Motor Drive and DC-DC converter models for high-bandwidth HIL real-time simulator
988
FPGA-based synthesis of FSMs through decomposition
989
FPGA-based synthetic instrumentation for board test
990
FPGA-based system for countinous monitoring of three vital signs of human body
991
FPGA-based system for data acquisition and remote communication
992
FPGA-based system for the education in data acquisition and signal generation
993
FPGA-based system-level design framework based on the IRIS synthesis tool and System Generator
994
FPGA-based system-on-chip designs for real-time applications in particle physics
995
FPGA-based system-on-chip designs for real-time applications in particle physics
996
FPGA-based systems in information and communication
997
FPGA-based Tabu search for detection in large-scale MIMO systems
998
FPGA-based technology for modular control of anthropomorphic robotic hands
999
FPGA-based template matching using distance transforms
1000
FPGA-based test bed for design and evaluation of low-power FIR-filter hardware accelerators
بازگشت