<< مقالات لاتين فني مهندسي >>
<< بر اساس عنوان >>
1
Low-order parametric system identification for intrapartum uterine pressure-fetal heart rate interaction
2
Low-Order Rational Approximation of Interconnects Using Neural-Network Based Pole-Clustering Techniques
3
Low-order realizations for 2-D transfer functions
4
Low-order robust damping controller design for large-scale PV power plants
5
Low-order robust power system stabilizer for single-machine systems: an LMI approach
6
Low-order robust regulator synthesis, based on linear-matrix correlations
7
Low-order simultaneous stabilization of linear bicycle models at different forward speeds
8
Low-Order Spectral Analysis of the Kirchhoff Matrix for a Probabilistic Graph With a Prescribed Expected Degree Sequence
9
Low-Order Stabilization of LTI Systems With Time Delay
10
Low-Order Stabilizer Design for Discrete Linear Time-Varying Internal Model-Based System
11
Low-order stabilizing controllers
12
Low-Order Stabilizing Controllers and Pole-Assignment
13
Low-order state-feedback controller design for long-time average cost control of fluid flow systems: A sum-of-squares approach
14
Low-order system identification and optimal control of intersample behavior in ILC
15
Low-order unknown input observers
16
Low-order valid models for dynamic studies of multi-machine power systems
17
Low-order whispering-gallery modes of a BaTiO/sub 3/ disk
18
Low-Order, Nonlinear, Dynamic Models for Distillation Columns
19
Low-order-complexity vision-based docking
20
Low-ordering-temperature fabrication of FePt by ion irradiation
21
Low-oscillation command switch-times for relay-driven cranes with asymmetrical acceleration and deceleration
22
Low-OSR asynchronous Σ-Δ modulation high-order buck converter for efficient wideband switching amplification
23
Low-OSR Over-Ranging Hybrid ADC Incorporating Noise-Shaped Two-Step Quantizer
24
Low-output and high-input impedance frequency filters using universal voltage conveyor for High-Speed Data Communication Systems
25
Low-output-impedance 0.6 μm CMOS sub-bandgap reference
26
Low-output-impedance class AB bipolar voltage buffer
27
Low-output-impedance CMOS voltage buffer
28
Low-overhead and high coverage run-time race detection through selective meta-data management
29
Low-overhead and high-accuracy failure detection method for wireless multi-hop ad hoc networks
30
Low-Overhead Architecture for Security Tag
31
Low-overhead asynchronous RISC microprocessor - a design experiment
32
Low-overhead authentication method for reprogramming protocol based on rateless codes in wireless sensor networks
33
Low-overhead buffer level signalling using weighted prioritisation
34
Low-Overhead Circuit Synthesis for Temperature Adaptation Using Dynamic Voltage Scheduling
35
Low-overhead content-adaptive spatial scalability for scalable video coding
36
Low-Overhead Content-Adaptive Spatial Scalability for Scalable Video Coding
37
Low-Overhead Control Channels in Wireless Networks
38
Low-overhead cooperative beamforming under imperfect quantized SNR of source-to-relay links
39
Low-overhead cooperative spectrum sensing technology for cognitive radio networks
40
Low-overhead countermeasures to protect Pre-charged busses against Power Analysis attacks
41
Low-Overhead Decentralized Relay Assignment for Cooperative Diversity
42
Low-overhead design of soft-error-tolerant scan flip-flops with enhanced-scan capability
43
Low-overhead design technique for calibration of maximum frequency at multiple operating points
44
Low-overhead diskless checkpoint for hybrid computing systems
45
Low-Overhead Distributed Jamming for SIMO Secrecy Transmission with Statistical CSI
46
Low-Overhead Dominating Set based Algorithms for Maximizing Lifetime in Wireless Sensor Networks
47
Low-Overhead End-to-End Performance Measurement for Next Generation Networks
48
Low-overhead error detection for Networks-on-Chip
49
Low-overhead error-resilient bit-plane image coding
50
Low-Overhead Fault Tolerance for High-Throughput Data Processing Systems
51
Low-overhead fault-tolerance for the preconditioned conjugate gradient solver
52
Low-overhead fault-tolerance technique for a dynamically reconfigurable softcore processor
53
Low-overhead hard real-time aware interconnect network router
54
Low-overhead image compression in WMSN for post disaster situation analysis
55
Low-overhead interactive debugging via dynamic instrumentation with DISE
56
Low-Overhead Interference Mitigation Scheme for Collaborative Channel Assignment in Overloaded Multiantenna Femtocells
57
Low-overhead load-balanced scheduling for sparse tensor computations
58
Low-Overhead LogGP Parameter Assessment for Modern Interconnection Networks
59
Low-overhead low-power-consumption LDPC-based FEC solution for next-generation high-speed optical systems
60
Low-Overhead Maximum Power Point Tracking for Micro-Scale Solar Energy Harvesting Systems
61
Low-Overhead Network-on-Chip Support for Location-Oblivious Task Placement
62
Low-overhead protocols for fault-tolerant file sharing
63
Low-overhead resource allocation with load balancing in multi-cell OFDMA systems
64
Low-overhead Routing Algorithm for 3D Network-on-Chip
65
Low-Overhead Run-Time Memory Leak Detection and Recovery
66
Low-Overhead Run-Time Scheduling for Fine-Grained Acceleration of Signal Processing Systems
67
Low-overhead segment based motion compensation for H.26L
68
Low-Overhead Self-Healing Methodology for Current Matching in Current-Steering DAC
69
Low-Overhead SEU-Tolerant Latches
70
Low-overhead single-event upset hardened latch using programmable resistance cells
71
Low-overhead symbol timing and carrier recovery for TDMA portable radio systems
72
Low-overhead testing of delay faults in high-speed asynchronous pipelines
73
Low-Overhead Texture Mapping on 3D Models
74
Low-overhead time synchronization for schedule-based multi-channel wireless sensor networks
75
Low-overhead two-dimensional test pattern generation
76
Low-overhead uplink scheduling through load prediction for WiMAX real-time services
77
Low-overhead virtualization of mobile platforms
78
Low-overhead, digital offset compensated, SRAM sense amplifiers
79
Low-overhead, low-complexity [burst] synchronization for OFDM
80
Low-Overlap Range Image Registration for Archaeological Applications
81
Low-Oxygen-Saturation Quantification in Human Arterial and Venous Circulation
82
Low-PAPR Asymmetrically Clipped Optical OFDM for Intensity-Modulation/Direct-Detection Systems
83
Low-PAPR joint transmit/received SC-FDE transmission using time-domain selected mapping
84
Low-parametric Induced Current - Magnetic Resonance Electrical Impedance Tomography for quantitative conductivity estimation of brain tissues using a priori information: A simulation study
85
Low-Parametric-Sensitivity Realizations With Relaxed L_{2} -Dynamic-Range-Scaling Constraints
86
Low-parasitic, planar Schottky diodes for millimeter-wave integrated circuits
87
Low-parasitics 1.55 µm VCSELs with modulation bandwidths beyond 17 GHz
88
Low-pass Active Filter Enabling DVB-H/T and GSM Standard Coexistence
89
Low-pass active filters
90
Low-Pass Amplification in Electronic Integrators for Small Flux Measurements
91
Low-Pass and Bandpass Alternative Ultraviolet Photoconductor Based on Zinc Oxide Nanoparticles on Intrinsic Gallium Nitride-Based Substrate
92
Low-Pass and Bandpass Filters With Ultra-Broad Stopband Bandwidth Based on Directional Couplers
93
Low-Pass and High-Pass Filters Consisting of Multilayer Dielectric Stacks
94
Low-pass and high-pass microwave filters with transmission zero based on metamaterial concepts
95
Low-pass and notch filters using the operational amplifier pole
96
Lowpass biquad parameters tuning in log-domain filtering
97
Low-pass biquadratic filters with high suppression rate
98
Low-pass cascade filters with high attenuation rate in the stopband
99
Lowpass delay filters with flat magnitude and group delay constraints
100
Low-Pass Delta-Delta-Sigma ADC
101
Lowpass delta-sigma modulator with digital upconversion for switching-mode power amplifiers
102
Lowpass delta-sigma modulator with digital upconversion for switching-mode power amplifiers
103
Low-pass differentiators for biological signals with known spectra: application to ECG signal processing
104
Low-pass digital filtering with the host windowing design technique
105
Lowpass digital filters using least-squared-error design
106
Lowpass digital filters with linear phase
107
Low-pass distributed RC filter using an MOS transistor with near zero phase shift at high frequencies
108
Lowpass double-sampling switched-current sigma-delta modulator
109
Low-pass equivalent feedback topology for Power Amplifier modeling
110
Low-pass filter along ray in texture-based volume rendering
111
Low-pass filter approximation with evolutionary techniques
112
Low-pass filter based automotive EPS controller and comparative full-vehicle tests
113
Low-Pass Filter Based Vlsi Oriented Variable Block Size Motion Estimation Algorithm for H.264
114
Low-pass filter design applying short-parallel coupled lines associated with open stubs
115
Low-Pass Filter Design Through the Accurate Analysis of Electromagnetic-Bandgap Geometry on the Ground Plane
116
Low-pass Filter Design using Two Overlapped Periodic EBG Structures with Low Spurious Responses
117
Low-pass filter effect in the measurement of surface EMG
118
Low-pass filter for computing the transition density in digital circuits
119
Lowpass filter for increasing class separability
120
Lowpass filter for spurious suppression
121
Low-Pass Filter Property of Dynamic Output Feedback Passivation Controller
122
Lowpass filter using 50Ω microstrip line based on defected ground structure
123
Lowpass filter using offset double-sided parallel-strip lines
124
Lowpass filter utilising broadside-coupled structure for ultrawideband harmonic suppression
125
Lowpass filter with in-line beeline CMRC
126
Lowpass filter with large rejection through the entire W-band
127
Lowpass filter with minimum integrated power-loss ratio in the passband
128
Lowpass filter with reduced fractal defected ground structure
129
Lowpass filter with sharp roll-off and wide stopband using LTCC technology
130
Lowpass filter with slow-wave rail coplanar stripline (R-CPS)
131
Low-Pass Filter with UCC Suitable for Data Systems
132
Low-Pass Filtered Volumetric Shadows
133
Low-pass filtering aiming at noise generated in a contrast enhancement
134
Low-Pass Filtering of Irregularly Sampled Signals Using a Set Theoretic Framework [Lecture Notes]
135
Lowpass filtering of rate-distortion functions for quality smoothing for real-time video recording and streaming
136
Low-pass filtering of rate-distortion functions for quality smoothing in real-time video communication
137
Low-pass filtering through different types of windows in linear time
138
Low-pass filtering with filters defined in the Discrete Trigonometric Transform domains
139
Low-Pass Filters Approximating-in Modulus and Phase-the Exponential Function
140
Low-pass filters as expectation operators for multiplicative noise
141
Lowpass filters by cascading low-Q 3rd-order blocks
142
Low-Pass Filters Design and Simulation for the Control Circuit of DC/DC Converters
143
Low-pass filters of even orders with equal ripple delay and Chebyshev stopband attenuation
144
Low-pass filters realizable as all-pass sums: design via a new flat delay filter
145
Lowpass filters realizable as coupled allpasses: design via a new flat delay filter
146
Low-Pass Filters Using Coaxial Transmission Lines as Elements
147
Lowpass filters using series stubs
148
Low-Pass Filters Using Ultraspherical Polynomials
149
Lowpass filters with approximately equal-ripple modulus error
150
Low-Pass Filters with Predetermined Phase or Delay and Chebyshev Stopband Attenuation
151
Lowpass filters with single-ripple in both passband and stopband
152
Low-pass frequency-domain filtering of oligonucleotide microarray data images
153
Low-pass impedance transformation networks
154
Low-pass linear-slope delay filters for compression
155
Lowpass minimum phase filter design using IFIR filters
156
Low-pass prototype element values for doubly loaded butterworth filters with 16 through 25 resonators
157
Low-pass prototype element values for doubly loaded Chebychev filters with 16-25 resonators
158
Low-Pass Quasi-Optical Filters for Oversized or Focused-Beam Waveguide Applications
159
Low-pass Spatial Filtering Using Optically Thinner Left-handed Photonic Crystals
160
Lowpass temporal filter using motion adaptive spatial filtering and its systolic realization
161
Lowpass Temporal Filter Using Motion Adaptive Spatial Filtering And Its Systolic Realization
162
Lowpass to highpass transformation in vis switched-capacitor filters
163
Lowpass traffic policing mechanism based on the leaky bucket
164
Lowpass, bandpass and highpass filters using current inversion type negative impedance Converter
165
Low-Pass, Quasi-Optical Filters for Oversized or Focused-Beam Waveguide Applications
166
Low-pass, quasi-optical filters using dielectric with metal-strip inclusions
167
Lowpass/bandpass signal reconstruction and digital filtering from nonuniform samples
168
Lowpass-bandpass transformation for active RC filters
169
Lowpass-filter flat magnitude characteristic with sharp cutoff
170
Low-pattern-dependence chirp compensation using integration of EAM and SOA
171
Low-Pattern-Dependence Prechirp Optical Modulation by Using Saturation Behaviors of SOA-Integrated EAM
172
Low-PDG Raman amplification via 10 GHz polarization sweeping with LiNbO/sub 3/ phase modulator
173
Low-PDL 16-channel variable optical attenuator array using silica-based PLC
174
Low-peak factor optimal zero-correlation zone sequence set and its applications
175
Low-peak pseudo-white-noise arrays
176
Low-penalty 10 Gbit/s operation of polarization-insensitive Mach-Zehnder wavelength converters based on bulk-tensile active material
177
Low-Penalty 5 ,\\times , 320 Gb/s/Single-Channel WDM DPSK Transmission Over 525 km Using Time-Domain Optical Fourier Transformation
178
Low-penalty 5x320 Gbit/s (1.6 Tbit/s) WDM DPSK transmission over 525 km using time-domain optical Fourier transformation
179
Low-penalty phase de-multiplexing of QPSK signal by dualpump phase sensitive amplifiers
180
Low-penalty Raman-Assisted XPM Wavelength Conversion at 320 Gb/s
181
Low-penalty ultrahigh-speed transmission of 640-Gbaud/s (3.84-Tbit/s) 64-QAM signal in a silicon slot waveguide
182
Low-penalty uniformly tunable wavelength conversion over 30 nm using SBS-suppressed low dispersion slope highly nonlinear fibers
183
Low-permittivity EBG materials for antenna superstrates
184
Low-phase error and high isolation CMOS active balun
185
Low-phase noise AlGaN/GaN FET-based voltage controlled oscillators (VCOs)
186
Low-Phase Noise Clock Distribution Network Using Rotary Traveling-Wave Oscillators and Built-In Self-Test Phase Tuning Technique
187
Low-Phase Noise Hartley Differential CMOS Voltage Controlled Oscillator
188
Low-Phase Noise LC-tank Quadrature Voltage Controlled Oscillator
189
Low-phase noise oscillator utilising high-Q active resonator based on substrate integrated waveguide technique
190
Low-phase noise photonic millimeter-wave generator using an AWG integrated with a 3-dB combiner
191
Low-phase noise temperature-compensated cryogenic whispering gallery mode resonator operated at 63 K in a closed-cycle cooler
192
Low-phase noise variation VCO implementing resistorless digitally controlled varactor
193
Low-phase-error and low-phase-noise 2GHz CMOS quadrature VCOs
194
Low-phase-error offset-compensated switched-capacitor integrator
195
Low-phase-noise 0.63-V, 1.7-mW, 11.55-GHz quadrature voltage controlled oscillator with intrinsic-tuned technique in 0.18-μm complimentary metal oxide semi-conductor
196
Low-phase-noise 3.4–4.5 GHz dynamic-bias class-C CMOS VCOs with a FoM of 191 dBc/Hz
197
Low-phase-noise 54GHz quadrature VCO and 76GHz/90GHz VCOs in 65nm CMOS process
198
Low-Phase-Noise Clock Recovery From NRZ Signal and Simultaneous NRZ-to-RZ Format Conversion
199
Low-phase-noise frequency synthesizer for the trapped atom clock on a chip
200
Low-Phase-Noise Graphene FETs in Ambipolar RF Applications
201
Low-phase-noise Gunn diode oscillator design
202
Low-phase-noise LC quadrature VCO using coupled tank resonators in a ring structure
203
Low-phase-noise LC-VCO using high-Q 8-shaped inductor
204
Low-phase-noise low-power IC VCOs for 5-8-GHz wireless applications
205
Low-phase-noise millimeter-wave generation at 64 GHz and data transmission using optical sideband injection locking
206
Low-phase-noise millimeter-wave signal generator assisted with frequency comb based on electro-optics-modulators
207
Low-phase-noise SiGe HBT VCOs using trifilar-transformer feedback
208
Low-phase-noise synthesisers for SHF satcom
209
Low-phase-noise ultra-flat optical frequency comb generation using a novel optoelectronic oscillator
210
Low-phase-noise VCO with active resonator
211
Low-phase-noise wideband VCO with optimised sub-nH inductor
212
Low-phase-noise wide-frequency-range differential ring-VCO with non-integral subharmonic locking in 0.18 µm CMOS
213
Low-phase-noise wide-frequency-range differential ring-VCO with non-integral subharmonic locking in 0.18 µm CMOS
214
Low-phase-noise wide-frequency-range differential ring-VCO with non-integral subharmonic locking in 0.18/μm CMOS
215
Low-phase-noise wide-frequency-range ring-VCO-based scalable PLL with subharmonic injection locking in 0.18 µm CMOS
216
Low-phase-noise wide-frequency-range ring-VCO-based scalable PLL with subharmonic injection locking in 0.18 µm CMOS
217
Low-phase-noise, phase-locked tunable millimeter-wave signal source for calibration of W-band low-noise astronomical heterodyne receivers
218
Low-PMD fibers
219
Low-PMEPR OFDM transmission with an iterative receiver technique for cancellation of nonlinear distortion
220
Low-polarization-dependent silica waveguide monolithically integrated on SOI photonic platform
221
Low-Polarization-Dependent Silica Waveguide Monolithically Integrated on SOI Photonic Platform
222
Low-poly style image and video processing
223
Low-power “Smart” CMOS image sensors
224
Low-power /spl mu/ wave plasma source for microsystems
225
Low-Power V_{\\bf DD} /3 Write Scheme With Inversion Coding Circuit for Complementary Memristor Array
226
Low-power 1.25-GHZ signal bandwidth 4-bit CMOS analog-to-digital converter for high spurious-free dynamic range wideband communications
227
Low-power 1/2 frequency dividers using 0.1-μm CMOS circuits built with ultrathin SIMOX substrates
228
Low-power 1:16 DEMUX and one-chip CDR with 1:4 DEMUX using InP-InGaAs heterojunction bipolar transistors
229
Low-power 10 Gbit/s RZ-OOK all-optical modulation using a novel photonic-crystal Fano switch
230
Low-power 100 Gbit/s selector IC using InP/InGaAs DHBTs
231
Low-power 100 GHz shunt-peaked regenerative frequency divider using 0.18 μm SiGe BiCMOS
232
Low-Power 10-Gb/s Transmitter for High-Speed Graphic DRAMs Using 0.18- \\mu\\hbox {m} CMOS Technology
233
Low-power 13.56 MHz RF front-end circuit for body sensor network
234
Low-power 14-bit current steering DAC, for ADSL2+/CO applications in 0.13μm CMOS
235
Low-Power 16 x 10 Gb/s Bi-Directional Single Chip CMOS Optical Transceivers Operating at ≪ 5 mW/Gb/s/link
236
Low-power 1V 5.8 GHz bulk-driven mixer with on-chip balun in 0.18μm CMOS
237
Low-Power 2.4 GHz Wake-Up Radio for Wireless Sensor Networks
238
Low-power 2.4GHz CMOS frequency synthesizer with differentially controlled MOS varactors
239
Low-power 2.4-GHz RF transceiver for wireless EEG module plug-and-play
240
Low-Power 2.4-GHz Transceiver in Wireless Sensor Network for Bio-medical Applications
241
Low-Power 2.4-GHz Transceiver With Passive RX Front-End and 400-mV Supply
242
Low-power 2.5 Gbit/s VCSEL driver in 0.5 μm CMOS technology
243
Low-power 200 Msps, area efficient, 5-tap programmable FIR filter
244
Low-power 200Msps, area efficient, 5-tap programmable FIR filter
245
Low-power 200-Msps, area-efficient, five-tap programmable FIR filter [in BiCMOS]
246
Low-power 20-Gb/s SiGe BiCMOS driver with 2.5 V output swing
247
Low-power 25.4??33.5 GHz programmable multi-modulus frequency divider
248
Low-power 2-D fully integrated CMOS fluxgate magnetometer
249
Low-power 2K-cell SDFL gate array and DCFL circuits using GaAs self-aligned E/D MESFETs
250
Low-power 2P2N SRAM with column hidden refresh
251
Low-power 3rd order ΣΔ modulator in CMOS 90-nm for sensor interface applications
252
Low-power 3rd-order continuous-time low-pass sigma-delta analog-to-digital converter for wideband applications
253
Low-power 30 Gbps silicon microring modulator
254
Low-power 300 Mbit/s OEIC with large-area photodiode
255
Low-power 32-bit dual-MAC 120 μW/MHz 1.0 V icyflex DSP/MCU core
256
Low-Power 32-bit Dual-MAC 120 \\mu W/MHz 1.0 V icyflex1 DSP/MCU Core
257
Low-power 3-bit piezoelectric MEMS analog to digital converter
258
Low-power 3D graphics processors for mobile terminals
259
Low-power 3D integrated ferromagnetic computing
260
Low-power 4-2 and 5-2 compressors
261
Low-power 42 dB-linear single-stage digitally-controlled variable gain amplifier
262
Low-power 48-GHz CMOS VCO and 60-GHz CMOS LNA for 60-GHz dual-conversion receiver
263
Low-Power 4-b 2.5 GSPS Pipelined Flash Analog-to-Digital Converters in 0.13 μm CMOS
264
Low-Power 4-b 2.5-GSPS Pipelined Flash Analog-to-Digital Converter in 130-nm CMOS
265
Low-power 4-bit flash ADC for digitally controlled DC-DC converter
266
Low-power 4-bit flash analogue to digital converter for ranging applications
267
Low-power 4-way associative cache for embedded SOC design
268
Low-power 5 GHz LNA and VCO in 90 nm RF CMOS
269
Low-power 50 Gbit/s InP HBT 1:4 demultiplexer IC with multiphase clock architecture
270
Low-power 50% duty cycle corrector
271
Low-power 600MHz comparator for 0.5V supply voltage in 0.12 lm CMOS
272
Low-power 60GHz CMOS pulse communication
273
Low-power 60GHz receiver front-end with a variable-gain LNA in SiGe BiCMOS technology
274
Low-power 6-bit 1-GS/s two-channel pipeline ADC with open-loop amplification using amplifiers with local-feedback
275
Low-power 6-bit flash ADC for high-speed data converters architectures
276
Low-power 6-GHz wave-pipelined 8b x 8b multiplier
277
Low-power 7.2 GHz complementary all-N-transistor logic using 90 nm CMOS technology
278
Low-power 71 GHz static frequency divider in SiGe:C HBT technology
279
Low-power 77-81 GHz CMOS LNA with excellent matching for automotive radars
280
Low-power 850 nm optoelectronic integrated circuit receiver fabricated in 65 nm complementary metal–oxide semiconductor technology
281
Low-power 8Gb/s near-threshold serial link receivers using super-harmonic injection locking in 65nm CMOS
282
Low-Power 915MHz CMOS LNA Design Optimization Techniques for RFID
283
Low-power 9T subthreshold SRAM cell with single-ended write scheme
284
Low-power absorption-type germanium thermo-optic modulator
285
Low-power access protocols based on scheduling for wireless and mobile ATM networks
286
Low-power accessless SRAM macro in logic CMOS technology
287
Low-power accumulator (correlator)
288
Low-power acoustic harvesting of aerosols
289
Low-power active interference cancellation for OFDM spectrum sculpting
290
Low-power active mixer for Ku-Band application using SiGe HBT MMIC technology
291
Low-power active mixer for Ku-band application using SiGe HBT MMIC technology
292
Low-power adaptive bias/clock generator using 0.18μm CMOS technology for multi-core continuous voltage and frequency scaling
293
Low-power adaptive filter
294
Low-power adaptive filter architectures and their application to 51.84 Mb/s ATM-LAN
295
Low-power adaptive filter architectures via strength reduction
296
Low-power adaptive filter based on RNS components
297
Low-Power Adaptive FIR Equalizer Via Soft Error Cancellation
298
Low-power adaptive FIR filter generator using bit-oriented structures
299
Low-power adaptive pipelined MPSoCs for multimedia: An H.264 video encoder case study
300
Low-power adaptive pseudo noise code acquisition for spread-spectrum systems
301
Low-power adaptive spike detector based on a sigma-delta control loop
302
Low-power adder design techniques for noise-tolerant applications
303
Low-power adiabatic computing with NMOS energy recovery logic
304
Low-Power Adiabatic Pins for Driving Chip Pads
305
Low-power adiabatic sequential circuits using two-phase power-clock supply
306
Low-power adiabatic sequential circuits with complementary pass-transistor logic
307
Low-power adiabatic SRAM
308
Low-power AEC-based MIMO signal processing for Gigabit Ethernet 1000Base-T transceivers
309
Low-power AES coprocessor in 0.18 µm CMOS technology for secure microsystems
310
Low-Power AES Design Using Parallel Architecture
311
Low-power algorithm for automatic topology generation for application-specific networks on chips
312
Low-Power All-Analog Component Separator for an 802.11a/g LINC Transmitter
313
Low-power all-digital manchester-encoding-based high-speed serdes transceiver for on-chip networks
314
Low-power all-optical broad-band switching device using ytterbium-doped fiber
315
Low-power all-optical diode in asymmetric nanocomposite photonic crystal microcavities
316
Low-power all-optical gate based on sum frequency mixing in APE waveguides in PPLN
317
Low-Power All-Optical Switch Based on Time-Reversed Microring Laser
318
Low-power all-optical switches based on active nonlinear interferometers
319
Low-power all-optical switching via tunable coupling of nanocomposite photonic crystal microcavities
320
Low-power amplifier for in-vivo EEG signal recording
321
Low-Power Amplifier for Readout Interface of Semiconductor Scintillator
322
Low-Power Amplifier-Discriminators for High Time Resolution Detection
323
Low-power amplifier-discriminators for high time resolution detection
324
Low-power analog fuzzy rule implementation based on a linear MOS transistor network
325
Low-power analog image processing using transform imagers
326
Low-Power Analog Integrated Circuits for Wireless ECG Acquisition Systems
327
Low-power analog signal processing
328
Low-power analog/RF circuit design based on the inversion coefficient
329
Low-Power Analog-to-Digital Converter and Multiplexer
330
Low-power analogue phase interpolator based clock and data recovery with high-frequency tolerance
331
Low-power and accurate operation of a CMOS smart temperature sensor based on bipolar devices and Σ̄ A/D converter
332
Low-Power and Area-Efficient Carry Select Adder
333
Low-power and area-efficient carry select adder using modified BEC-1 converter
334
Low-power and area-efficient FIR filter implementation suitable for multiple taps
335
Low-power and area-efficient PSK demodulator for wirelessly powered implantable command receivers
336
Low-Power and Area-Efficient Shift Register Using Pulsed Latches
337
Low-Power and Compact CMOS APS Circuits for Hybrid Cryogenic Infrared Fast Imaging
338
Low-power and compact NP dynamic CMOS adder with 16nm carbon nanotube transistors
339
Low-Power and Compact Sequential Circuits With Independent-Gate FinFETs
340
Low-power and cost-effective wifi sensor motes for wireless embedded Internet applications
341
Low-power and efficient ambient assistive care system for elders
342
Low-power and error coding for network-on-chip traffic
343
Low-power and error protection coding for network-on-chip traffic
344
Low-power and fast switching in III–V photonic crystals
345
Low-Power and Hardware Efficient Decimation Filters in Sigma-Delta A/D Converters
346
Low-power and high speed CPL-CSA adder
347
Low-Power and High-Accurate Synchronization for IEEE 802.16d Systems
348
Low-power and high-linearity CMOS parametric passive mixers for millimeter wave applications
349
Low-power and high-linearity mixer design using complex transconductance equivalent circuit
350
Low-power and highly reliable logic gates transistor-level optimizations
351
Low-Power and Highly Reliable Multilevel Operation in  \\hbox {ZrO}_{2} 1T1R RRAM
352
Low-Power and Highly Uniform Switching in  \\hbox {ZrO}_{2} -Based ReRAM With a Cu Nanocrystal Insertion Layer
353
Low-power and high-performance 5:2 compressors
354
Low-Power and High-Performance Communication Mechanism for Dependable Embedded Systems
355
Low-power and high-performance design for cryptosystem using power aware and pipeline techniques
356
Low-power and high-performance design of OpenGL ES 2.0 graphics processing unit for mobile applications
357
Low-power and high-performance equality comparator using pseudo-NMOS NAND gates
358
Low-power and high-performance technologies for mobile SoC in LTE era
359
Low-power and high-quality Cordic-based Loeffler DCT for signal processing
360
Low-power and high-quality signal transmission baseband LSIC for personal communications
361
Low-Power and High-Sensitivity Humidity Sensor Using Fe-Al-Polyaniline Blends
362
Low-power and high-SFDR direct digital frequency synthesizer based on hybrid CORDIC algorithm
363
Low-power and high-speed 4-2 compressor
364
Low-power and high-speed architecture for EBCOT block in JPEG2000 system
365
Low-power and high-speed current-mode CMOS imager with 1T biasing scheme
366
Low-power and high-speed digital correlator for radio astronomy
367
Low-power and high-speed DRAM readout scheme
368
Low-power and high-speed operation capabilities of semiconductor membrane lasers — Energy cost limited by Joule heat
369
Low-power and high-speed operation of InGaAsP/InP photonic crystal nanocavity laser using wavelength-sized buried heterostructure
370
Low-Power and High-Speed Pipelined ADC Using Time-Aligned CDS Technique
371
Low-power and high-speed ROM modules for ASIC applications
372
Low-power and high-speed V VLSI design with low supply voltage through cooperation between levels
373
Low-power and high-speed VLSI architecture for lifting-based forward and inverse wavelet transform
374
Low-power and high-speed VLSI architecture of 2-D DWT for JPEG2000
375
Low-power and high-stability SRAM technology using a laser-recrystallized p-channel SOI MOSFET
376
Low-power and low NF V-band down-converter in 0.13 μm CMOS
377
Low-power and low-area CMOS quadrature RC oscillator with capacitive coupling
378
Low-power and low-chirp guided-wave electrooptic intensity modulator by use of domain-inverted structure
379
Low-power and low-complexity architecture for H.264/AVC video decoder
380
Low-Power and Low-Complextly Full Adder Design for Wireless Base Band Application
381
Low-Power and Low-Cost Implementation of SVMs for Smart Sensors
382
Low-power and Low-cost Implementation of SVMs for Smart Sensors
383
Low-power and low-latency cluster topology for local traffic NoCs
384
Low-power and low-offset comparator using latch load
385
Low-Power and Low-Variability Programmable Delay Element and Its Application to Post-Silicon Skew Tuning
386
Low-power and low-voltage D-latch
387
Low-power and low-voltage fully parallel content-addressable memory
388
Low-power and low-voltage x-band silicongermanium heterojunction bipolar transistor low-noise amplifier
389
Low-Power and Nanosecond Switching in Robust Hafnium Oxide Resistive Memory With a Thin Ti Cap
390
Low-power and Portable Design of Bioelectrical Impedance Measurement System
391
Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies
392
Low-power and real-time address translation through arithmetic operations for virtual memory support in embedded systems
393
Low-Power and Reliable Clock Network Design for Through-Silicon Via (TSV) Based 3D ICs
394
Low-power and robust 6T SRAM cell using symmetric dual-k spacer FinFETs
395
Low-power and robust on-chip thermal sensing using differential ring oscillators
396
Low-power and robust six-FinFET memory cell using selective gate-drain/source overlap engineering
397
Low-power and robust SRAM cells based on asymmetric FinFET structures
398
Low-power and small-area scan driver using depletion-mode a-IGZO TFTs for ultra-high-resolution displays
399
Low-power and topology-free data transfer protocol with synchronous packet transmissions
400
Low-Power and Wideband LC-VCO for WiMAX in CMOS Technology
401
Low-Power and Wide-Bandwidth Cyclic ADC With Capacitor and Opamp Reuse Techniques for CMOS Image Sensor Application
402
Low-power and wide-dynamic-range sigma-delta modulator for an ECG acquisition system
403
Low-Power and Widely Tunable Linearized Biquadratic Low-Pass Transconductor-C Filter
404
Low-power ANSI S1.11 filter bank for digital hearing aids
405
Low-power appliance monitoring using Factorial Hidden Markov Models
406
Low-power application-specific FFT processor for LTE applications
407
Low-power application-specific processor for FFT computations
408
Low-power approach for decoding convolutional codes with adaptive Viterbi algorithm approximations
409
Low-Power Approaches to High-Speed Current-Steering Digital-to-Analog Converters in 0.18-μm CMOS
410
Low-power architectural trade-offs in a VLSI implementation of an adaptive hearing aid algorithm
411
Low-Power Architecture and Circuit Techniques for High-Boost Wide-Band Gm–C Filters
412
Low-power architecture for A 6-bit 1.6GS/s flash A/D converter
413
Low-power architecture for wideband spectrum sensing
414
Low-power architecture of dTDMA receiver and transmitter for hybrid SoC interconnect
415
Low-power architecture with scratch-pad memory for accelerating embedded applications with run-time reuse
416
Low-power architectures for compressed domain video coding co-processor
417
Low-power architectures for large radio astronomy correlators
418
Low-Power Architectures for Spike Sorting
419
Low-Power Area-Efficient Decimation Filters in Sigma-Delta ADCs
420
Low-power area-efficient delay element with a wide delay range
421
Low-power area-efficient high-speed I/O circuit techniques
422
Low-power area-efficient high-voltage linear amplifier for driving integrated 2-D ultrasound transducer array
423
Low-power area-efficient large-scale ip lookup engine based on binary-weighted clustered networks
424
Low-power arithmetic for the processing of video signals
425
Low-power arithmetic unit for DSP applications
426
Low-power array architectures for motion estimation
427
Low-Power a-Si:H Gate Driver Circuit With Threshold-Voltage-Shift Recovery and Synchronously Controlled Pull-Down Scheme
428
Low-Power ASIC for Microwatt Electrostatic Energy Harvesters
429
Low-Power ASIP Architecture Exploration and Optimization for Reed-Solomon Processing
430
Low-power ASK receiver circuit for wireless communication system
431
Low-power aspects of different adder topologies
432
Low-Power Asynchronous NCL Pipelines With Fine-Grain Power Gating and Early Sleep
433
Low-Power Asynchronous Viterbi Decoder for Wireless Applications
434
Low-power asynchronous Viterbi decoder for wireless applications
435
Low-power audio classification for ubiquitous sensor networks
436
Low-power auto focus algorithm using modified DCT for the mobile phones
437
Low-power autonomous wave energy capture device for remote sensing and communications applications
438
Low-power autozeroed high-speed comparator for the readout chain of a CMOS monolithic active pixel sensor based vertex detector
439
Low-power bandgap references featuring DTMOSTs
440
Low-power bandgap references featuring DTMOSTs
441
Low-power baseband filter for zero-intermediate frequency digital video broadcasting terrestrial/handheld receivers
442
Low-Power Baseband Folded Gilbert Cell Mixer for Frequency-Domain A/D Converter in 0.18 µm CMOS
443
Low-power baseband processing for wireless multimedia systems using unequal error protection
444
Low-power behavioral synthesis optimization using multiple precision arithmetic
445
Low-power beyond-CMOS devices
446
Low-power BIBITS encoding with register relabeling for instruction bus
447
Low-power BiCMOS circuits for high-speed interchip communication
448
Low-power BiCMOS continuous-time shaping filter
449
low-power BiCMOS Op Amp with integrated current mode charge pump
450
Low-power BiCMOS op-amp with integrated current-mode charge pump
451
Low-power BiCMOS track-and-hold circuit with reduced signal feedthrough
452
Low-power binding of function units in high-level synthesis
453
Low-Power Bioelectronics for Massively Parallel Neuromonitoring
454
Low-power bipolar transistor memory cells
455
Low-Power Bi-Side Scan Driver Integrated by IZO TFTs Including a Clock-Controlled Inverter
456
Low-Power BIST With a Smoother and Scan-Chain Reorder Under Optimal Cluster Size
457
Low-power bit-serial Viterbi decoder for 3rd generation W-CDMA systems
458
Low-power bit-serial Viterbi decoder for next generation wide-band CDMA systems
459
Low-power block-level instantaneous comparison 7T SRAM for dual modular redundancy
460
Low-power bloom filter architecture for deep packet inspection
461
Low-Power Body Sensor Network for Wireless ECG Based on Relaying of Creeping Waves at 2.4GHz
462
Low-power branch target buffer for application-specific embedded processors
463
Low-power branch target buffer for application-specific embedded processors
464
Low-Power Buffer Management for Streaming Data
465
Low-power buffer management using hybrid control
466
Low-power buffer with voltage boosting and improved frequency compensation for liquid crystal display source drivers
467
Low-power buffered clock tree design
468
Low-power bufferless resonant clock distribution networks
469
Low-Power built-in logic block observer realization for BIST applications
470
Low-power bulk-driven feed-forward reverse nested miller compensated OTA with high drive capability
471
Low-power burst-mode clock recovery circuit using analog phase interpolator
472
Low-power bus encoding using an adaptive hybrid algorithm
473
Low-power bus encoding with crosstalk delay elimination
474
Low-Power Bus Transform Coding for Multilevel Signals
475
Low-power c.w. Doppler navigation equipment
476
Low-Power Cache Design Using 7T SRAM Cell
477
Low-power cache memory with state-of-the-art STT-MRAM for high-performance processors
478
Low-power Capacitor Arrays for Charge Redistribution SAR A-D Converter in 65nm CMOS
479
Low-Power Carbon Monoxide MOX Sensors for Wireless Distributed Sensor Networks
480
Low-power carry look-ahead adder with multi-threshold voltage CMOS technology
481
Low-Power Carry Look-Ahead Adder with Multi-Threshold Voltage CMOS Technology
482
Low-power carry select adder using fast all-one finding logic
483
Low-Power Carry-Select Adder Using Adaptive Supply Voltage Based on Input Vector Patterns
484
Low-power carry-select adder using adaptive supply voltage based on input vector patterns
485
Low-power CDMA analog matched filters based on floating-gate technology
486
Low-power CDMA multiuser receiver architectures
487
Low-Power Cell-level ADC for a MEMS-based Parallel Scanning-probe Storage Device
488
Low-power channel coding via dynamic reconfiguration
489
Low-power charge sensitive amplifier for semiconductor scintillator
490
Low-power charge-sharing ROM using dummy bit lines
491
Low-power chip interconnection by dynamic termination
492
Low-power chips for high-powered handhelds
493
Low-Power chip-scale Rubidium plasma light source for miniature atomic clocks
494
Low-power chip-to-chip communication circuits
495
Low-power circuit advantages of the scaled accumulation FET
496
Low-Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs)
497
Low-power circuit challenges in cellular/molecular interfaces
498
Low-power circuit design using adiabatic switching principle
499
Low-power circuit implementation for partial-product addition using pass-transistor logic
500
Low-power circuit structures for chip-scale stimulating implants
501
Low-Power Circuit Techniques for High-Speed ECL SRAMs
502
Low-Power Circuits and Energy Harvesting for Structural Health Monitoring of Bridges
503
Low-power circuits design for the Wireless Force Measurement system of the Total Knee Arthroplasty
504
Low-Power Circuits for a 2.5-V, 10.7-to-86-Gb/s Serial Transmitter in 130-nm SiGe BiCMOS
505
Low-Power Circuits for a 2.5-V, 10.7-to-86-Gb/s Serial Transmitter in 130-nm SiGe BiCMOS
506
Low-Power Circuits for Brain–Machine Interfaces
507
Low-Power Circuits for Brain-Machine Interfaces
508
Low-Power Circuits for the Bidirectional Wireless Monitoring System of the Orthopedic Implants
509
Low-power class AB current memory cell
510
Low-power class-AB CMOS OTA with high slew-rate
511
Low-Power Class-AB CMOS Voltage Feedback Current Operational Amplifier With Tunable Gain and Bandwidth
512
Low-power clock and data recovery circuit for IR-UWB receiver power management
513
Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop
514
Low-power clock distribution networks for 3-D ICs
515
Low-Power Clock Distribution Using a Current-Pulsed Clocked Flip-Flop
516
Low-power clock distribution using multiple voltages and reduced swings
517
Low-power clock reference circuit for intermittent operation of subthreshold LSIs
518
Low-Power Clock Tree Design for Pre-Bond Testing of 3-D Stacked ICs
519
Low-power clock trees for CPUs
520
Low-power clock-deskew buffer for high-speed digital circuits
521
Low-Power Clocked-Pseudo-NMOS Flip-Flop for Level Conversion in Dual Supply Systems
522
Low-power cluster using OMAP3530
523
Low-power CML crosspoint switch matrix for space-division digital-switching network
524
Low-power CMOS active resistor independent of the threshold voltage
525
Low-power CMOS analog front-end for wireless communication
526
Low-power CMOS and BiCMOS circuits for analog convolutional decoders
527
Low-power CMOS at Vdd = 4kT/q
528
Low-power CMOS baseband filter for dual-mode direct conversion receiver
529
Low-power CMOS circuit techniques for motion estimators
530
Low-power CMOS circuits for analog VLSI programmable neural networks
531
Low-Power CMOS Comparator with Embedded Amplification for Ultra-high-speed ADCs
532
Low-power CMOS continuous-time filters
533
Low-power CMOS current conveyor
534
Low-power CMOS current-conveyor relaxation oscillators
535
Low-power CMOS current-conveyor relaxation oscillators
536
Low-power CMOS design through V/sub TH/ control and low-swing circuits
537
Low-power CMOS digital design
538
Low-power CMOS digital design with dual embedded adaptive power supplies
539
Low-Power CMOS Energy Detection Transceiver for UWB Impulse Radio System
540
Low-power CMOS energy detector for noncoherent impulse-radio UWB receivers
541
Low-Power CMOS Equalizer Design for 20-Gb/s Systems
542
Low-power CMOS folding and interpolating ADC with a fully-folding technique
543
Low-Power CMOS Folding and Interpolating ADC with a Serial-Parallel Domino Encoder
544
Low-power CMOS fully integrated transmitters exploiting on-chip antennas
545
Low-Power CMOS Fully-Folding ADC with a Novel Bit Synchronization Architecture
546
Low-power CMOS IEEE 802.11a/g Signal Separator for Outphasing Transmitter
547
Low-Power CMOS Image Sensor Based on Column-Parallel Single-Slope/SAR Quantization Scheme
548
Low-power CMOS implantable nerve signal analog processing circuit
549
Low-power CMOS inductorless bandwidth-enhanced transimpedance amplifier for short-haul applications
550
Low-power CMOS inductorless bandwidth-enhanced transimpedance amplifier for short-haul applications
551
Low-power CMOS inductorless bandwidth-enhanced transimpedance amplifier for short-haul applications
552
Low-power CMOS integrated circuits for radio frequency applications
553
Low-power CMOS limiting amplifier for burst mode receiver in ATM-PON system
554
Low-power CMOS on-chip voltage reference using MOS PTAT: an EP approach
555
Low-power CMOS PLL for clock generator
556
Low-power CMOS polar modulator for multiband and multimode RF transmitter
557
Low-power CMOS RC oscillators based on current conveyors
558
Low-Power CMOS Rectifier Design for RFID Applications
559
Low-Power CMOS RF front-end for non-coherent IR-UWB receiver
560
Low-Power CMOS Smart Temperature Sensor With a Batch-Calibrated Inaccuracy of {\\pm}{0.25}^{\\circ}{\\rm C}~({\\pm}3\\sigma ) From \\Delta \\Sigma Modulators Using SC Passive Filters in 65 nm CMOS
756
Low-Power Dual Dynamic Node Pulsed Hybrid Flip-Flop Featuring Efficient Embedded Logic
757
Low-power dual quantization-domain decoding for LDPC codes
758
Low-power dual Vth pseudo dual Vdd domino circuits
759
Low-power dual-active class-AB buffer amplifier with self-biasing network for LCD column drivers
760
Low-power dual-edge triggered state-retention scan flip-flop
761
Low-power dual-element memristor based memory design
762
Low-Power Dual-Microphone Speech Enhancement Using Field Programmable Gate Arrays
763
Low-power dual-rail multiple-valued current-mode logic circuit using multiple input-signal levels
764
Low-power duty-cycle tuned filters
765
Low-power DV encoder architecture for digital CMOS camcorder
766
Low-power DWT-based quasi-averaging algorithm and architecture for epileptic seizure detection
767
Low-Power Dynamic Memory Word Line Decoding for Static Random Access Memories
768
Low-power dynamic MIMO detection for a 4×4 MIMO-OFDM receiver
769
Low-power dynamic scheduling algorithm For real-time multiprocessor systems
770
Low-power dynamic termination scheme using NMOS diode clamping
771
Low-Power EDA Technologies: State-of-the-Art and Beyond
772
Low-Power Effective Memory-Size Expanded TCAM Using Data-Relocation Scheme
773
Low-power electronics
774
Low-power electronics and design is focus of International Symposium
775
Low-power Electronics for Distributed Impact Detection and Piezoelectric Sensor Applications
776
Low-power electro-optical switch based on a III-V microdisk cavity on a silicon-on-insulator circuit
777
Low-power electro-optical switch based on a iii-v microdisk cavity on a silicon-on-insulator circuit
778
Low-power electrostatic microthruster for propulsion based on helmholtz-resonance
779
Low-Power Embedded LDPC-H.264 Joint Decoding Architecture Based on Unequal Error Protection
780
Low-power embedded microprocessor design
781
Low-power embedded ReRAM technology for IoT applications
782
Low-power embedded ReRAM technology for IoT applications
783
Low-power embedded SRAM macros with current-mode read/write operations
784
Low-power embedded SRAM modules with expanded margins for writing
785
Low-power embedded SRAM with the current-mode write technique
786
Low-Power Embedded System Design Strategy
787
Low-power embedded system for real-time correction of fish-eye automotive cameras
788
Low-power encodings for global communication in CMOS VLSI
789
Low-power energy conversion systems with two-phase PM machine and a rectifier with reduced number of controlled switches
790
Low-power energy generation systems for two-phase PM machine with reduced-switch-count controlled switches
791
Low-power energy harvester for wiegand transducers
792
Low-Power Energy Harvesting Solutions for Wiegand Transducers
793
Low-power energy supply circuit for passive RFID transponder
794
Low-power energy-based CMOS digital detector for neural recording arrays
795
Low-power enhanced system-on-chip design for sequential minimal optimisation learning core with tri-layer bus and butterfly-path accelerator
796
Low-power environmentally friendly underwater acoustic communication using pseudo-noise spreading sequences
797
Low-power equalizer architectures for high speed modems
798
Low-power equalizers for 51.84 Mb/s very-high-speed digital subscriber loop (VDSL) modems
799
Low-power exciton-based heterojunction bipolar transistors for thresholding logic applications
800
Low-power exclusion clause for wireless devices operating at close distances to the human body
801
Low-power explicit-pulsed triggered flip-flop with robust output
802
Low-power exponent architecture in finite fields
803
Low-Power Exponential V-I Converter Using Composite PMOS Transistors
804
Low-power Fanout Optimization Using MTCMOS and Multi-Vt Techniques
805
Low-Power Fanout Optimization Using Multi Threshold Voltages and Multi Channel Lengths
806
Low-power fanout optimization using multiple threshold voltage inverters
807
Low-Power Far-Field Wireless Powering for Wireless Sensors
808
Low-power fast static random access memory cell
809
Low-power fast-update pipelined phase accumulator for CML-based high-speed CMOS DDFSs
810
Low-power ferroelectric random access memory embedded in 180nm analog friendly CMOS technology
811
Low-power FFT design for NC-OFDM in cognitive radio systems
812
Low-power FFT via reduced precision redundancy
813
Low-power FFT/IFFT VLSI macro cell for scalable broadband VDSL modem
814
Low-power field-programmable VLSI processor using dynamic circuits
815
Low-power filter bank structure using block filters
816
Low-power filtering via adaptive error-cancellation
817
Low-Power Filtering Via Minimum Power Soft Error Cancellation
818
Low-power fine-tuning switched-resistor reconfigurable filter
819
Low-power FinFET circuit synthesis using surface orientation optimization
820
Low-Power FinFET design schemes for NOR address decoders
821
Low-power FIR digital filter architectures
822
Low-power FIR digital filters using residue arithmetic
823
Low-power FIR filter based on standard cell
824
Low-power FIR filter design on FPGAs
825
Low-power FIR filter realization with differential coefficients and inputs
826
Low-Power Fixed-Width Array Multipliers
827
Low-power fixed-width array multipliers
828
Low-power flexible GF(p) elliptic-curve cryptography processor
829
Low-power floating bitline 8-T SRAM design with write assistant circuits
830
Low-power floating-point encoding for signal processing applications
831
Low-power flyback converter with synchronous rectification for a system with AC power distribution
832
Low-power FOCV MPPT controller with automatic adjustment of the sample&hold
833
Low-power folded tree architecture and multi-bit flip-flop merging technique for WSN nodes
834
Low-power four-channel wavelength multicasting in embedded microring resonators
835
Low-power FPGA implementation for DA-based video processing
836
Low-power FPGA routing switches using adaptive body biasing technique
837
Low-power FPGA-based display processing module for head-mounted displays
838
Low-Power Frequency Doubler in Cellulose-Based Materials for Harmonic RFID Applications
839
Low-power frequency multiplier with one cycle lock-in time and 100 ppm frequency resolution, for system power-management
840
Low-power frequency selective filtering
841
Low-power front-end amplification and frequency generation techniques for ultra-wideband millimeter-wave transceivers
842
Low-power front-end and local oscillator for millimeter-wave receivers
843
Low-power front-end of eddy current sensor interfaces for industrial applications
844
Low-Power Fuel Delivery With Concentration Regulation for Micro Direct Methanol Fuel Cell
845
Low-power full-band UWB active pulse shaping circuit using 0.18-/spl mu/m CMOS technology
846
Low-power full-search motion estimator architecture suitable for random-block match
847
Low-power fully differential CMOS filter for video frequencies
848
Low-power fully integrated 10-Gb/s SONET/SDH transceiver in 0.13-μm CMOS
849
Low-power fully integrated and tunable CMOS RF wireless receiver for ISM band consumer applications
850
Low-power fully-integrated K-band transceiver using transformer direct-stacking/connecting and balun signal-combining techniques
851
Low-power fully-testable flow meter in CMOS ASIC
852
Low-power functionality enhanced computation architecture using spin-based devices
853
Low-power Fuzzy Logic VLSI implementation with asynchronous topology for neuronal sensors
854
Low-power gm-boosted LNA and VCO circuits in 0.18 μm CMOS
855
Low-power GaAs comparator and monostable
856
Low-Power GaAs ICs
857
Low-Power Gate Driver Circuit for TFT-LCD Application
858
Low-power gated clock tree optimization for three-dimensional integrated circuits
859
Low-power gigabit logic by GaAs SSFL
860
Low-power global/rolling shutter image sensors in silicon on sapphire technology
861
Low-power globally asynchronous locally synchronous design using self-timed circuit technology
862
Low-power GPS receiver design
863
Low-power GS/s track-and-hold with 10-b resolution at Nyquist in SiGe BiCMOS
864
Low-power H.263 video codec dedicated to mobile computing
865
Low-Power H.264 Video Compression Architectures for Mobile Communication
866
Low-power H.264/AVC baseline decoder for portable applications
867
Low-power half-static flip-flop structure
868
Low-Power Hardware Efficient MMSE Equalizer Design
869
Low-power hardware for neural spike compression in BMIs
870
Low-power hardware implementation of ECC processor suitable for low-cost RFID tags
871
Low-power hardware implementation of movement decoding for brain computer interface with reduced-resolution discrete cosine transform
872
Low-power hardware implementation of noise tolerant heart rate extractor for a wearable monitoring system
873
Low-power hardware synthesis from TRS-based specifications
874
Low-power hermetically sealed on-chip plasma light source micromachined in glass
875
Low-Power HF Microelectronics: A Unified Approach [Book Reivews]
876
Low-power high bandwidth CMOS current conveyor
877
Low-Power High Parallel Load Resistance Current-Mode Grounded and Floating Capacitor Multiplier
878
Low-power high-accuracy compact implementation of analog wavelet transforms
879
Low-power high-accuracy timing systems for efficient duty cycling
880
Low-power high-contrast coded waveform discrimination at 10 GHz via nonlinear processing
881
Low-power high-density 10GBASE-T ethernet transceiver
882
Low-Power High-Drive CMOS Operational Amplifiers
883
Low-power high-efficiency architecture for low-complexity chase soft-decision Reed-Solomon decoding
884
Low-Power High-Efficiency Class D Audio Power Amplifiers
885
Low-power high-frequency class-AB two-step sampling switched-current techniques
886
Low-Power High-Level Data-Flow Synthesis
887
Low-power high-level synthesis for FPGA architectures
888
Low-power high-level synthesis using latches
889
Low-power high-linearity 0.13-µm CMOS WCDMA receiver front-end
890
Low-power high-linearity area-efficient multi-mode GNSS RF receiver in 40nm CMOS
891
Low-power high-order band-pass active-RC allpole filters using a "lossy" LP-BP transformation
892
Low-Power High-Performance and Dynamically Configured Multi-Port Cache Memory Architecture
893
Low-power high-performance arithmetic circuits and architectures
894
Low-Power High-Performance Asymmetrical Double-Gate Circuits Using Back-Gate-Controlled Wide-Tunable-Range Diode Voltage
895
Low-power high-performance double-gate fully depleted SOI circuit design
896
Low-power high-performance FinFET sequential circuits
897
Low-power high-performance logic style for low-voltage CMOS technologies
898
Low-power high-performance nand match line content addressable memories
899
Low-power high-performance non-binary CMOS arithmetic circuits
900
Low-power high-performance reconfigurable computing cache architectures
901
Low-power high-Q NEMS receiver architecture
902
Low-Power High-Rensponsivity CMOS Temperature Sensor
903
Low-Power High-Resolution 32-channel Neural Recording System
904
Low-power high-resolution autocorrelation technique based on the Degree-of-Polarization measurement
905
Low-power high-slew-rate CMOS buffer amplifier for flat panel display drivers
906
Low-Power High-Speed 180-nm CMOS Clock Drivers
907
Low-power high-speed 1-V LSI using a 0.25-/spl mu/m MTCMOS/SIMOX technique
908
Low-power high-speed capacitive transdermal Spatial Pulse Position Modulation communication
909
Low-power high-speed communication with short-millimeter-wave CMOS transceivers
910
Low-power High-speed Complementary Gaas Dynamic Logic Circuit Design
911
Low-power high-speed continuous-time Σ-Δ modulators
912
Low-power high-speed current comparator design
913
Low-power high-speed current mode logic using Tunnel-FETs
914
Low-power high-speed dual-modulus prescaler for Gb/s applications
915
Low-power high-speed ECL circuit with 0.5-μm rule and 30-GHz fT technology
916
Low-Power High-Speed Electromagnetic Flapping Shutters Using Trapezoidal Shutter Blades Suspended by H-Type Torsional Springs
917
Low-power high-speed full adder for portable electronic applications
918
Low-Power High-Speed Hybrid Temperature Heterogeneous Technology Digital Data Link
919
Low-power high-speed InP MISFET direct-coupled FET logic
920
Low-power high-speed level shifter design for block-level dynamic voltage scaling environment
921
Low-power high-speed multiplier for error-tolerant application
922
Low-power high-speed on-chip asynchronous Wave-pipelined CML SerDes
923
Low-power high-speed operation of submicron InP-InGaAs SHBTs at 1 mA
924
Low-power high-speed performance of current-mode logic D flip-flop topology using negative-differential-resistance devices
925
Low-Power High-Speed Radix-2 DIT FFT Suitable for AAC/DRA Audio Decoding
926
Low-power high-speed silicon microdisk modulators
927
Low-Power High-Throughput BCH Error Correction VLSI Design for Multi-Level Cell NAND Flash Memories
928
Low-power High-throughput Deblocking Filter Architecture for H.264/AVC
929
Low-Power High-Throughput LDPC Decoder Using Non-Refresh Embedded DRAM
930
Low-power high-tuning range CMOS ring oscillator VCOs
931
Low-power high-voltage charge pumps for implantable microstimulators
932
Low-power high-voltage CMOS level-shifters for liquid crystal display drivers
933
Low-power high-voltage high-frequency power supply for ozone generation
934
Low-power high-voltage high-frequency power supply for ozone generation
935
Low-Power High-Voltage Non-overlapping Clock Generators for Switched-Capacitor step-up DC-DC Converters
936
Low-Power High-Voltage Power Modulator for Motor Insulation Testing
937
Low-power high-voltage universal-input inverter for ozone generation
938
Low-power high-yield SRAM design with VSS adaptive boosting and BL capacitance variation sensing
939
Low-power home PV systems with MPPT and PC control modes
940
Low-power humidity read-out circuit in CMOS 180-nm for RFID sensors
941
Low-power hybrid complementary metaloxide- semiconductor-nano-electro-mechanical systems field programmable gate array: circuit level analysis and defect-aware mapping
942
Low-power hybrid structure of digital matched filters for direct sequence spread spectrum systems
943
Low-power hybrid structure of digital matched filters for direct sequence spread spectrum systems
944
Low-power hybrid STT/CMOS system-on-chip embedding non-volatile magnetic memory blocks
945
Low-power hybrid turbo decoding based on reverse calculation
946
Low-power IC design challenge
947
Low-power IC design challenge
948
Low-power IC design for a wireless BCI system
949
Low-Power Image Compression for Wireless Capsule Endoscopy
950
Low-power image decoding using fractals
951
Low-power implantable microsystem intended to multichannel cortical recording
952
Low-power implantable seizure detection processor
953
Low-power implantable ultrasound imager for online monitoring of tumor growth
954
Low-power implementation of a 4×4 two-dimensional discrete Pascal Transform
955
Low-power implementation of a high-throughput LDPC decoder for IEEE 802.11N standard
956
Low-power implementation of a residue-to-weighted conversion unit for a 5-moduli RNS
957
Low-power implementation of a subband Fast Affine Projection algorithm for acoustic echo cancellation
958
Low-power implementation of an HMM-based sound environment classification algorithm for hearing aid application
959
Low-power implementation of discrete cosine transform
960
Low-power implementation of discrete wavelet transform
961
Low-power implementation of FIR filters within an adaptive reconfigurable architecture
962
Low-power implementation of frequency response masking based FIR filters
963
Low-power implementation of H.324 audiovisual codec dedicated to mobile computing
964
Low-power implementation of polyphase filters in Quadratic Residue Number system
965
Low-power implementation of the Bluetooth subband audio codec
966
Low-power implementations of DSP through operand isolation and clock gating
967
Low-Power Impulse UWB Architectures and Circuits
968
Low-power injection-locked zero-IF self-oscillating mixer for self-powered millimeter-wave identification (MMID) active tag in 65-nm CMOS
969
Low-power InP/GaAsSb/InP DHBT cascode transimpedance amplifier with GBP/Pdc of 7.2 GHz/mW
970
Low-power InP-HEMT switch ICs integrating miniaturized 2×2 switches for 10-Gb/s systems
971
Low-power instruction bus encoding for embedded processors
972
Low-Power Instruction Cache Architecture Using Pre-Tag Checking
973
Low-Power Instrumental Amplifier for Portable ECG
974
Low-power integrable paging receiver architecture
975
Low-power integrated acousto-optic filter on X-cut lithium niobate
976
Low-power integrated CMOS RF transceiver circuits for short-range applications
977
Low-power interface circuits for bio-implantable microsystems
978
Low-Power Interface IC for Triplate Electrostatic Energy Converters
979
Low-power investigation of TE/sub 0n/ and TM/sub 0n/ mode Vlasov launchers (gyrotron applications)
980
Low-power IPv6 for the Internet of Things
981
Low-Power Issues for SoC
982
Low-Power JPEG2000 Implementation on DSP-Based Camera Node in Wireless Multimedia Sensor Networks
983
Low-power K-band pseudo-stacked mixer with linearity enhancement technique
984
Low-power L2 cache design for multi-core processors
985
Low-power laser induces change in vascular tension
986
Low-Power Laser Irradiation Inhibits Apoptosis Induced by Abeta via Preventing Ask-1/JNK Pathway
987
Low-power LC-tank-reused injection-locked frequency multiplier
988
Low-power LC-VCO using integrated MEMS passives
989
Low-power LDPC decoder design exploiting memory error statistics
990
Low-power LDPC decoding based on iteration prediction
991
Low-Power Leading-Zero Counting and Anticipation Logic for High-Speed Floating Point Units
992
Low-power leapfrog bandpass filter with transmission zeros using integrators and resistor-based addition circuits
993
Low-power lessons from designing a wearable logger for long-term deployments
994
Low-Power Level Shifter for Multi-Supply Voltage Designs
995
Low-power light control with light in high Q/V silicon microring resonators
996
Low-Power Limited-Search Parallel State Viterbi Decoder Implementation Based on Scarce State Transition
997
Low-power linear-phase delay filters for neural signal processing: Comparison and synthesis
998
Low-Power link quality estimation in smart grid environments
999
Low-power liquid crystal display television panel with reduced motion blur
1000
Low-Power Listening Goes Multi-channel
بازگشت